搜索
bottom↓
回复: 2

请高手给看看这些程序

[复制链接]

出0入0汤圆

发表于 2009-5-19 10:17:13 | 显示全部楼层 |阅读模式
请高手给看看这些程序 给注释下
触发器一   COMPONENT dff1:设计有置位端pset,没有清零端clr
LIBRARY IEEE;
USE IEEE STD_LOGIC_1164.ALL;
ENTITY dff1 IS
            PORT(clk,d,pset:IN STD_LOGIC;
                q1,q2:OUT STD_LOGIC);
END dff1;
ARCHITECTURE rtl OF dff1 IS
BEGIN
            PROCESS(clk,pset)
            BEGIN
                  IF(pset ='0')THEN
                      q1<='1';
                      q2<='0';
                  ELSIF (clk='0' AND clk='1')THEN
                      q1<=d;
                      q2<=NOT d;
                  END IF;
                END PROCESS;
END rtl;


触发器二   COMPONENT dff2:设计有清零端clr,没有置位端pset
LIBRARY IEEE;
USE IEEE STD_LOGIC_1164.ALL;
ENTITY dff2 IS
              PORT(clk,d,reset:IN STD_LOGIC;
                   q1,q2:OUT STD_LOGIC);
END dff2;
ARCHITECTURE rtl OF dff2 IS
BEGIN
                 PROCESS(clk,reset)
                    BEGIN
                    IF(pset ='0')THEN
                       q1<='0';
                       q2<='1';
                     ELSIF (clk='0' AND clk='1')THEN
                        q1<=d;
                        q2<=NOT d;
                     END IF;
                    END PROCESS;
END rtl;
八循环移位寄存器:
LIBRARY IEEE;
USE  IEEE.STD_LOGIC_1164.ALL;
ENTITY shift IS
                  PORT (clk,reset:IN STD_LOGIC;
                  Q:OUT STD_LOGIC_VECTOR (4 DOWNTO 0));
                  END shift;
ARCHITECTURE  gen_shift OF shift IS
                  COMPONENT dff1
                  PORT (d,clk,pset:IN STD_LOGIC;
                          q0:OUT STD_LOGIC);
                   END COMPONENT;
                  COMPONENT           dff2
                   PORT (d,clk,clr:IN STD_LOGIC;
                      q0:OUT STD_LOGIC);
                   END COMPONENT;
                  SIGNAL  z:STD_LOGIC_VECTOR (4 DOWNTO 0);
BEGIN
                   u1:dff1 PORT MAP (z(4),clk,reset,z(0));
                   go: FOR i IN 0 TO 3 GENERATE
                   u2:dff2  PORT MAP (z(i),clk,reset,z(i+1));
                   END GENERATE;
                   g1:FOR j IN 0 TO 4 GENERATE
                   Q(j)<=z(j);
                   END GENERATE;
END gen_shift;

阿莫论坛20周年了!感谢大家的支持与爱护!!

一只鸟敢站在脆弱的枝条上歇脚,它依仗的不是枝条不会断,而是自己有翅膀,会飞。

出0入0汤圆

发表于 2009-5-22 17:19:02 | 显示全部楼层
这不就是用VHDL语言编的代码嘛

出0入0汤圆

发表于 2009-5-23 09:11:18 | 显示全部楼层
去你第一次发帖的看看
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-5-16 00:56

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表