搜索
bottom↓
回复: 9

有报酬 请高手给看看这些程序 给注释下

[复制链接]

出0入0汤圆

发表于 2009-5-20 15:37:01 | 显示全部楼层 |阅读模式
触发器一   COMPONENT dff1:设计有置位端pset,没有清零端clr  
LIBRARY IEEE;
USE IEEE STD_LOGIC_1164.ALL;
ENTITY dff1 IS
            PORT(clk,d,pset:IN STD_LOGIC;
                q1,q2:OUT STD_LOGIC);
END dff1;
ARCHITECTURE rtl OF dff1 IS
BEGIN
            PROCESS(clk,pset)
            BEGIN
                  IF(pset ='0')THEN  
                      q1<='1';
                      q2<='0';
                  ELSIF (clk='0' AND clk='1')THEN
                      q1<=d;
                      q2<=NOT d;
                  END IF;
                END PROCESS;
END rtl;


触发器二   COMPONENT dff2:设计有清零端clr,没有置位端pset
LIBRARY IEEE;
USE IEEE STD_LOGIC_1164.ALL;
ENTITY dff2 IS
              PORT(clk,d,reset:IN STD_LOGIC;
                   q1,q2:OUT STD_LOGIC);
END dff2;
ARCHITECTURE rtl OF dff2 IS
BEGIN
                 PROCESS(clk,reset)
                    BEGIN
                    IF(pset ='0')THEN  
                       q1<='0';
                       q2<='1';
                     ELSIF (clk='0' AND clk='1')THEN
                        q1<=d;
                        q2<=NOT d;
                     END IF;
                    END PROCESS;
END rtl;
八循环移位寄存器:
LIBRARY IEEE;
USE  IEEE.STD_LOGIC_1164.ALL;
ENTITY shift IS
                  PORT (clk,reset:IN STD_LOGIC;
                  Q:OUT STD_LOGIC_VECTOR (4 DOWNTO 0));
                  END shift;
ARCHITECTURE  gen_shift OF shift IS  
                  COMPONENT dff1
                  PORT (d,clk,pset:IN STD_LOGIC;
                          q0:OUT STD_LOGIC);
                   END COMPONENT;
                  COMPONENT           dff2
                   PORT (d,clk,clr:IN STD_LOGIC;
                      q0:OUT STD_LOGIC);
                   END COMPONENT;
                  SIGNAL  z:STD_LOGIC_VECTOR (4 DOWNTO 0);
BEGIN
                   u1:dff1 PORT MAP (z(4),clk,reset,z(0));
                   go: FOR i IN 0 TO 3 GENERATE
                   u2:dff2  PORT MAP (z(i),clk,reset,z(i+1));
                   END GENERATE;  
                   g1:FOR j IN 0 TO 4 GENERATE
                   Q(j)<=z(j);
                   END GENERATE;
END gen_shift;

阿莫论坛20周年了!感谢大家的支持与爱护!!

一只鸟敢站在脆弱的枝条上歇脚,它依仗的不是枝条不会断,而是自己有翅膀,会飞。

出0入0汤圆

发表于 2009-5-20 16:12:37 | 显示全部楼层
注释一下??!!
先给你画个大叉

你这程序哪里来的?
不会是回家作业吧?
自己不会做,抄了同学的?
你可知道你抄的这位同学,答案也做错了?

出0入0汤圆

 楼主| 发表于 2009-5-20 16:59:28 | 显示全部楼层
请大侠指导下啊

出0入0汤圆

发表于 2009-5-23 09:19:40 | 显示全部楼层
你把程序编译一下看看对不对再开仿真;错误的程序

出0入0汤圆

发表于 2009-5-23 09:24:24 | 显示全部楼层
LIBRARY IEEE;  
USE IEEE.STD_LOGIC_1164.ALL;  
ENTITY dff1 IS  
            PORT(clk,d,pset:IN STD_LOGIC;  --定义端口
                q1,q2:OUT STD_LOGIC);  
END dff1;  
ARCHITECTURE rtl OF dff1 IS  
BEGIN  
            PROCESS(clk,pset)             --敏感列表
            BEGIN  
                  IF(pset ='0')THEN      --如果pset=0 ,则q1输出1 q2输出0
                      q1<='1';  
                      q2<='0';  
                  ELSIF (clk'event AND clk='1')THEN  -- 否则即pset=1 q1输出d值,q2输出d的非值
                      q1<=d;  
                      q2<=NOT d;  
                  END IF;  
                END PROCESS;  
END rtl;                             --功能: 带正反相(q1,q2)输出可置位(pset)的D触发器,
                                     --当置位信号有效(0)时,q1输出1,q2输出0;                                   
                                     --否者(即pset无效 即1) q1输出输入端d的值,q2输出输入端d的非值;



LIBRARY IEEE;                         --这个根本没有清零 还是异步置位;
USE IEEE.STD_LOGIC_1164.ALL;  
ENTITY dff2 IS  
              PORT(clk,d,reset:IN STD_LOGIC;  
                   q1,q2:OUT STD_LOGIC);  
END dff2;  
ARCHITECTURE rtl OF dff2 IS  
BEGIN  
                 PROCESS(clk,reset)  
                    BEGIN  
                    IF(reset ='0')THEN   
                       q1<='0';  
                       q2<='1';  
                     ELSIF (clk'event AND clk='1')THEN  
                        q1<=d;  
                        q2<=NOT d;  
                     END IF;  
                    END PROCESS;  
END rtl;  

上面2个代码没有问题,置位后的值不一样,01和10

出0入0汤圆

发表于 2009-5-23 09:32:58 | 显示全部楼层
四楼代码看上去没问题

出0入90汤圆

发表于 2009-5-23 13:28:42 | 显示全部楼层
呵呵,这个时候,要给报酬的注释代码,肯定是毕业设计咯!

出0入0汤圆

 楼主| 发表于 2009-5-26 07:38:59 | 显示全部楼层
是啊

出0入0汤圆

 楼主| 发表于 2009-5-26 07:43:08 | 显示全部楼层
四楼的大哥怎么能联系你啊 指点小弟下啊 547924947我的QQ 如果可以加我下

出0入0汤圆

发表于 2009-5-26 10:23:02 | 显示全部楼层
不要帮这种人。
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-5-15 15:03

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表