搜索
bottom↓
回复: 2

VHDL心得与讨论:关于在不同process里控改变同一个变量

[复制链接]

出0入0汤圆

发表于 2009-5-21 16:03:23 | 显示全部楼层 |阅读模式
小弟初学
经常遇到在不同process里面都对一个值做改变而导致错误提示
之前我只好尽量把两个动作放大同一个process里面,但是有时候这两个动作的时钟又不是同一个

比如:有计数开始信号a(上升沿有效),时钟clk ,和使能信号en   我想在a上升沿到来以后计10然后停止,等下一个a到来重复上述过程

问题在于开始信号a和clk两个上升沿信号
process(a,clk)
if(rising_edge(a) and clk)
是不行的Error (10628): VHDL error at test.vhd(40): can't implement register for two clock edges combined with a binary operator

于是我想到检测a和计数clk在两个process里
process(a)
if(rising_edge(a))then
  en<='1';--计数使能
.....
process(clk)

if(rising_edge(clk))then
.....
   if(count=10)then
     en<='0';--关闭计数

但这样又有不能在不同process对同一个变量(en)改变的问题
于是再改


process(a)
if(rising_edge(a))then
  flag_a<=not flag_b;
.....
process(clk)

if(rising_edge(clk))then
.....
   if(count=10)then
     flag_b<=flag_b;


process(flag_a,flag_b)
en<=flag_a xor flag_b;

前面两个process只对中间量flag赋值,而第三个process对两个中间变量异或


这个方法只对要改变的量是一个只有0、1两种状态的值
不知个位对这个问题有什么看法?请多提建议

阿莫论坛20周年了!感谢大家的支持与爱护!!

一只鸟敢站在脆弱的枝条上歇脚,它依仗的不是枝条不会断,而是自己有翅膀,会飞。

出0入0汤圆

发表于 2009-5-21 21:19:17 | 显示全部楼层
Why not use FSM? It is popular in VHDL..:)

出0入0汤圆

 楼主| 发表于 2009-5-22 08:19:58 | 显示全部楼层
小弟刚接触VHDL 对状态机还不是很理解 感觉就是满足条件时从一个状态跳到另一个状态  感觉有点像译码器是吗?
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-5-15 12:02

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表