搜索
bottom↓
回复: 2

请教:我一个正确的程序在quartus中时序仿真无法载入端口

[复制链接]

出0入0汤圆

发表于 2009-6-3 19:59:09 | 显示全部楼层 |阅读模式
这是我的一段程序
library ieee;
use ieee.std_logic_1164.all;       
entity select4_1 is
        port(a,b,c,d : in std_logic;
                 s0,s1 : in std_logic;
                 y : out std_logic);
end entity select4_1;
architecture one of select4_1 is
signal ss : std_logic_vector(1 downto 0);
        begin
                ss <= s0 & s1;
                process(ss)
                        begin
                                case ss is
                                        when "00" => y <= a;
                                        when "01" => y <= b;
                                        when "10" => y <= c;
                                        when "11" => y <= d;
                                        when others => null;
                                end case;
                end process;
end architecture one;
一个选通器 当s0=0,s1=0时候 y=a;当s0=1,s1=0时候,y=b;当s0=0,s1=1时候 y=c;当s0=1,s1=1时候 y=d;当我在进入波形仿真的时候 从node finder中加载从端口的时候 无法拖进波形仿真框中,随即我看了下编译报告 出现了警告,我不断的修改,结果还是不行
Warning (10492): VHDL Process Statement warning at select4_1.vhd(15): signal "a" is read inside the Process Statement but isn't in the Process Statement's sensitivity list  这是我a端口的一个警告 我知道错误在这里 但是我不太懂语法,感觉不出问题,忘指教一下,本人初次论坛问问题知道有经验的人很多,也很忙,希望能帮我解答一下。谢谢!

出0入0汤圆

 楼主| 发表于 2009-6-4 11:51:12 | 显示全部楼层
这个问题我已经解决了 最后我猜想是不是我设置错误了 我又重新新建了一个工程 重新调试了下 成功了

出0入0汤圆

发表于 2009-10-8 12:26:03 | 显示全部楼层
我去试试
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-5-2 10:16

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表