搜索
bottom↓
回复: 7

verilog仿真的波形怎么是这样啊?

[复制链接]

出0入0汤圆

发表于 2009-9-17 14:07:00 | 显示全部楼层 |阅读模式
代码很简单如下:
module Counter (Clk, Reset, Count);
   input Clk, Reset;
   output [2:0] Count;
   reg [2:0] Count;
   always @(posedge Clk) begin
      if (!Reset) Count = 0;
      else Count = Count + 1;
   end
endmodule
但是仿真的结果怎么会这样啊?

仿真的结果 (原文件名:count.JPG)

阿莫论坛20周年了!感谢大家的支持与爱护!!

一只鸟敢站在脆弱的枝条上歇脚,它依仗的不是枝条不会断,而是自己有翅膀,会飞。

出0入0汤圆

发表于 2009-9-17 14:17:24 | 显示全部楼层
没有判断一下?加到3以后,该清0了吧

111->明显计数满了

这个是什么软件仿真的?是10还是11版本?

出0入0汤圆

发表于 2009-9-17 15:05:24 | 显示全部楼层
Count[2:0] 仿真的时候被设定为有符号数,所以Count出现负数.

应该在仿真的时候把Count[2:0]设定无符号数.

出0入0汤圆

发表于 2009-9-24 10:04:56 | 显示全部楼层
想请教楼主这个是哪个仿真软件?

出0入0汤圆

 楼主| 发表于 2009-10-16 15:59:22 | 显示全部楼层
Xilinx ISE 10.0

出0入0汤圆

发表于 2010-2-22 22:43:32 | 显示全部楼层
always @(posedge Clk) begin
if (!Reset) Count = 0;
else Count = Count + 1;
end

出0入0汤圆

发表于 2010-2-22 22:44:43 | 显示全部楼层
always @(posedge Clk) begin
      if (!Reset) Count <= 0;
      else Count <= Count + 1;
   end

改一下赋值

出0入0汤圆

发表于 2010-2-23 09:43:54 | 显示全部楼层
阻塞赋值才对啊,LZ还要加油啊!
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-6-11 00:53

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表