搜索
bottom↓
回复: 10

verilog可以对同一个时钟进行上升沿和下降沿采样吗

[复制链接]

出0入0汤圆

发表于 2009-10-18 17:04:01 | 显示全部楼层 |阅读模式
verilog可以对同一个时钟进行上升沿和下降沿采样吗:always@(posedge clk or negedge clk),编译出错

出0入0汤圆

发表于 2009-10-18 17:13:16 | 显示全部楼层
分成两个always块即可,不过这种情况最好使用FPGA/CPLD现成的双边沿DFF接口来做。

出0入0汤圆

发表于 2009-10-18 17:28:27 | 显示全部楼层
好像括号里面只要(clk)就好吧,你再看看,坛子上有电子书下载的。

出0入0汤圆

发表于 2009-10-18 17:28:41 | 显示全部楼层
http://www.ourdev.cn/bbs/bbs_content.jsp?bbs_sn=3259866&bbs_page_no=1&bbs_id=1029

出0入0汤圆

发表于 2009-10-18 17:36:56 | 显示全部楼层
一般来说,最好不要这样用,因为综合器对时钟优化的时候,对上升沿有优化,对下降沿没有。
所以,如果用下降沿采样的话,时序可能会达不到要求

出0入0汤圆

 楼主| 发表于 2009-10-18 19:13:22 | 显示全部楼层
我是要在上升沿和下降沿对同一个输出赋值,两个always块不能对同一个变量赋值

出0入0汤圆

 楼主| 发表于 2009-10-18 19:14:09 | 显示全部楼层
谢谢3楼提供的电子书

出0入0汤圆

 楼主| 发表于 2009-10-18 20:16:25 | 显示全部楼层
FPGA貌似没有现成的双边沿DFF吧,只有一个边沿的

出0入0汤圆

发表于 2009-10-18 21:36:44 | 显示全部楼层
不太清楚哦,本人刚开始学

出0入0汤圆

发表于 2009-10-18 21:42:50 | 显示全部楼层
不行的, 除非利用器件提供的特殊接口(主要供DDR这样的东西使用)

因为实际上并没有同时对上升和下降沿都可以触发的触发器。

出0入0汤圆

发表于 2009-10-22 20:09:15 | 显示全部楼层
刚看数据手册上说好像不好同时对上升沿和下降沿采样
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-5-2 17:02

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表