搜索
bottom↓
回复: 2

请教关于vhdl顺序语句赋值的问题(只有4行代码)

[复制链接]

出0入0汤圆

发表于 2009-11-27 17:29:02 | 显示全部楼层 |阅读模式
这是我们课上的一个作业,代码如下:
begin

    PROCESS(CLK)
    BEGIN
             IF CLK'EVENT AND  CLK='1' THEN
                     A<=D;                    
             END IF;
    Q<=A;
END PROCESS;

其中A是自己定义的signal,D和Q是输入输出。综合以后显示的是一个d触发器。

但是我的理解是在时钟上升沿,两个赋值应该同时进行,A<=D要在进程结束后才完成赋值,故在Q<=A时,A的值还没有变化。在时钟下降沿,才完成了Q的值是之前D的值。所以这样的话,综合的电路应该是两个触发器串联,第一个上升沿触发,第二个为下降沿触发。

请问我的理解错在哪里?为什么结果是一个上升沿的d触发器?

阿莫论坛20周年了!感谢大家的支持与爱护!!

一只鸟敢站在脆弱的枝条上歇脚,它依仗的不是枝条不会断,而是自己有翅膀,会飞。

出0入0汤圆

发表于 2009-11-28 23:15:32 | 显示全部楼层
D触发器本来就有延时功能
begin
PROCESS(CLK)
    BEGIN
             IF CLK'EVENT AND  CLK='1' THEN  
                     A<=D;                     
             END IF;
END PROCESS;
Q<=A; 放在进程后吧

出0入0汤圆

发表于 2010-1-11 17:29:46 | 显示全部楼层
回复【楼主位】nionio
-----------------------------------------------------------------------

好像有竞争冒险吧!
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-5-16 04:02

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表