|
发表于 2010-3-11 17:42:02
|
显示全部楼层
我抄的书上的例程,一个全加器,调用半加器和或门,希望对楼主有点参考。
--一位二进制全加器顶层设计描述
library ieee;
use ieee.std_logic_1164.all;
entity f_adder is
port (ain,bin,cin : in std_logic;
cout,sum : out std_logic);
end entity f_adder;
architecture fd1 of f_adder is
component h_adder
port ( a,b : in std_logic;
co,so : out std_logic);
end component;
component or2a
port (a,b :in std_logic;
c : out std_logic);
end component;
signal d,e,f : std_logic;
begin
u1 : h_adder port map ( a=>ain, b=>bin, co=>d, so=>e);
u2 : h_adder port map ( a=>e, b=>cin, co=>f, so=>sum);
u3 : or2a port map ( a=>d, b=>f, c=>cout);
end architecture fd1; |
|