搜索
bottom↓
回复: 4

请教--我这个2.5分频的VHDL代码有什么问题么?为什么得不到仿真波形呀?

[复制链接]

出0入0汤圆

发表于 2010-3-7 21:07:56 | 显示全部楼层 |阅读模式
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
entity fenpin is
port(inclk: in std_logic;
     present: in std_logic_vector(3 downto 0);
     outclk: buffer std_logic);
end entity fenpin;
architecture behav of fenpin is
signal clk,clk2:std_logic;
signal count:std_logic_vector(3 downto 0);
begin
clk<=inclk xor clk2;
process(clk)
begin
if(clk'event and clk='1')
   then if (count="0000")
   then count<=present-1;
        outclk<='1';
else
    count<=count-1;
    outclk<='0';
end if;
end if;
end process;
process(outclk)
begin
if(outclk'event and outclk='1')
then
clk2<=not clk2;
end if;
end process;
end behav;

仿真结果 (原文件名:1.jpg)

阿莫论坛20周年了!感谢大家的支持与爱护!!

曾经有一段真挚的爱情摆在我的面前,我没有珍惜,现在想起来,还好我没有珍惜……

出0入0汤圆

 楼主| 发表于 2010-3-8 10:01:29 | 显示全部楼层
已经解决了!自己太粗心了,没注意,呵呵!

仿真结果 (原文件名:1.jpg)

出0入0汤圆

发表于 2010-3-18 16:20:56 | 显示全部楼层
到底怎么是怎么回事啊,没看明白啊,楼主再解释一下吧,

出0入0汤圆

发表于 2010-3-18 17:28:46 | 显示全部楼层
clk2没有初始值.
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-6-10 16:47

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表