搜索
bottom↓
回复: 3

initial语句中,为什么这个时延没有出现呢?

[复制链接]

出0入90汤圆

发表于 2010-7-7 23:32:35 | 显示全部楼层 |阅读模式
刚学CPLD,用verilog,照着书上的例子写initial语句。代码如下:
`timescale 1ns/1ns
module led (Pop, Pid) ;
output Pop, Pid ;
reg Pop, Pid ;
initial
begin
Pop = 0;           // 语句 1。
Pop = #5 1;        // 语句 3。
Pid = #3 1;        // 语句 4。
Pop = #6 0;        // 语句 5。
Pid = #2 0;        // 语句 6。
end
endmodule

用quartus 9.0 来编译,然后生成波形文件后,结果看到的波形和书上的波形不一样。波形如下:

(原文件名:仿真波形.jpg)


(原文件名:书上波形.jpg)

我现在就奇怪了,为什么时延没有效果呢?而且quartus生成仿真波形时候还发出如下警告:
Warning: Waveform settings file D:/FPGA/EPM240/test1/db/wed.wsf at (line:76, col:20) has warning : no such channel in waveform file, ignore display line settings for this signal
Warning: Waveform settings file D:/FPGA/EPM240/test1/db/wed.wsf at (line:84, col:20) has warning : no such channel in waveform file, ignore display line settings for this signal
Warning: Waveform settings file D:/FPGA/EPM240/test1/db/wed.wsf at (line:92, col:20) has warning : no such channel in waveform file, ignore display line settings for this signal
Warning: Waveform settings file D:/FPGA/EPM240/test1/db/wed.wsf at (line:100, col:20) has warning : no such channel in waveform file, ignore display line settings for this signal

阿莫论坛20周年了!感谢大家的支持与爱护!!

曾经有一段真挚的爱情摆在我的面前,我没有珍惜,现在想起来,还好我没有珍惜……

出0入90汤圆

 楼主| 发表于 2010-7-8 16:40:56 | 显示全部楼层
这个应该是很简单的问题吧,就是时延没产生效果啊,一般入门了的人都肯定可以搞定的啊,为什么就没个人给俺解答下呢!

出0入0汤圆

发表于 2010-7-8 17:00:42 | 显示全部楼层
楼主哥是要写testbench测试代码还是rtl可综合代码?如果是后者,您的代码initial部分是不可综合的,所以仿真看不出想要结果。
当然如果是testbench,使用modelsim之类的软件就可以仿真到想要的波形。
此外,rtl代码没有延时这种说法,你试着编写定时器,使用状态标志来实现顺序的行为。
[原创].怎样在有限状态机中延时.[FSM][Verilog]
http://www.cnblogs.com/yuphone/archive/2010/05/12/1733867.html

出0入90汤圆

 楼主| 发表于 2010-7-8 19:32:19 | 显示全部楼层
汗,多谢楼上的高手指点。
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-6-1 14:55

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表