搜索
bottom↓
回复: 57

2010黑龙江电子设计竞赛A题

[复制链接]

出0入24汤圆

发表于 2010-8-13 18:01:25 | 显示全部楼层 |阅读模式
A题:双相信号发生器(本科组)
一、任务
设计、制作一个双相信号发生器,在特定的频率范围内输出正弦波,信号的幅度和相位差可以程控设置,也可以输出矩形波和方波。作品电路中不得使用任何DAC芯片、DDS芯片或微处理器的DAC功能。数字逻辑系统推荐使用EXCD-1 SOC开发板,信号发生器的工作电源可外置。
二、要求
1.基本要求
  (1)两路信号均可输出正弦波、方波、矩形波;
(2)两路信号输出最大幅度不低于3V,幅度可调,设置分辨率不低于10bit;
(3)信号频率范围从9.5kHz到10.5kHz可调,步进值不大于100Hz,频率准确度不低于0.1%;
(4)正弦波信号在整个频率设置范围内,波形失真度不大于2%;
(5)两路信号的相位差可以在0—359度内可调,设置分辨力不大于1度;
(6)矩形波占空比在0.1%—99.9%范围内可调,设置分辨率不低于0.1%;
2.发挥部分
(1)两路信号输出最大幅度不低于3.5V,幅度可调,设置分辨率不低于12bit;
    (2)正弦信号频率从8kHz到12kHz变化,信号平坦度优于90%;
    (3)正弦信号频率从8kHz到12kHz变化,信号失真度不大于2%;
(4)两路正弦信号的相位差可以在0—359.9度内可调,设置分辨力不大于0.1度;
    (5)两路均可产生FSK调制波,内调制信号的频率不大于10Hz,上边频为12kHz,下边频8kHz;
(6)两路均可产生ASK调制波,内调制信号的频率不大于10Hz,载波频率为10kHz,调制率为100%;
(7)其他。
三、说明
1.微处理器系统板、工作电源可用成品,也可自制,必须自备。
2.设计报告正文中应包括系统总体框图、波形发生原理、数字逻辑原理框图、主要的测试结果。详细电路原理图、HDL程序或电路图、测试结果用附件给出。
3.题目中所有准确度及分辨率指标必须是电路原理及器件硬件所保证,报告中需要有理论计算。


----------------------------------------------------------------------------------------------------------------------------------------
----------------------------------------------------------------------------------------------------------------------------------------
赛灵思赞助的比赛,但是我用EP3C16做,各位大侠有啥建议没?

出0入0汤圆

发表于 2010-8-13 19:45:03 | 显示全部楼层
是暑假培训题目啊?

出0入0汤圆

发表于 2010-8-13 20:15:26 | 显示全部楼层
回复【楼主位】ycwjl728 马克西蒙
-----------------------------------------------------------------------

是“XILINX&高教杯”大学生电子设计大赛吗?赛灵思赞助的比赛,比赛不是只能用EXCD-1 SOC开发板吗?你怎么用EP3C16做呢?

出0入0汤圆

发表于 2010-8-13 22:15:47 | 显示全部楼层
回复【楼主位】ycwjl728 马克西蒙
a题:双相信号发生器(本科组)
一、任务
设计、制作一个双相信号发生器,在特定的频率范围内输出正弦波,信号的幅度和相位差可以程控设置,也可以输出矩形波和方波。作品电路中不得使用任何dac芯片、dds芯片或微处理器的dac功能。数字逻辑系统推荐使用excd-1 soc开发板,信号发生器的工作电源可外置。
二、要求
1.基本要求
  (1)两路信号均可输出正弦波、方波、矩形波;
(2)两路信号输出最大幅度不低于3v,幅度可调,设置分辨率不低于10bit;
(3)信号频率范围从9.5khz到10.5khz可调,步进值不大于100hz,频率准确度不低于0.1%;
(4)正弦波信号在整个频率设置范围内,波形失真度不大于2%;
(5)两路信号的相位差可以在0—359度内可调,设置分辨力不大于1度;
(6)矩形波占空比在0.1%—99.9%范围内可调,设置分辨率不低于0.1%;
2......
-----------------------------------------------------------------------

如果能用高速DA模块的话,那这道题做起来就很轻松了。

双路高速DA扩展模块板,此部分主要由两片14位125MSPS的AD9764高速数/模转换芯片组成,可以满足用户对于高速信号产生的要求,用户可以借助FPGA最小系统板,利用DDS技术实时产生点频、扫频、ASK、FSK等各种形式的数字信号,并通过控制高速DA转换来得到所需的模拟信号。

双路高速DA扩展模块板 (原文件名:双路高速DA扩展模块板.jpg)

移相信号发生器 (原文件名:移相信号发生器.jpg)

出0入0汤圆

发表于 2010-8-13 23:25:17 | 显示全部楼层
我也是黑龙江的哈~对于这道题也很不解啊~
怎么不让用DA 芯片啊?

出0入42汤圆

发表于 2010-8-13 23:53:51 | 显示全部楼层
不让用DA咋整?自己搞个DA出来?

出0入42汤圆

发表于 2010-8-13 23:56:35 | 显示全部楼层
整出个矩形波?再搞成成三角波?再三角波变成正弦波?

矩形 积分 三角,
三角 二极管 正弦波?

不会是想这么搞吧

出0入0汤圆

发表于 2010-8-14 00:02:12 | 显示全部楼层
可以用8阶椭圆滤波器将方波信号滤波得到正弦波,椭圆滤波器有一个输入方波信号,通过控制该信号的频率,即可控制滤波的截止频率,也就是实现了程控滤波,应该可以满足题目的要求。而方波和矩形波的相位调整都可以通过FPGA内部编程实现,至于幅度的调节,可以考虑用乘法器来实现,乘法器的有X,Y两个输入信号,其中X信号连接需要调节的信号,譬如正弦波,方波或者矩形波,而Y信号则连接一个由FPGA内部产生的PWM信号,经过RC滤波得到的直流电压,通过控制直流电压实现幅度控制,不过要求乘法器的带宽要非常宽,否则方波的边沿会变缓慢,譬如AD834.这道题的主要意图还是希望大家充分利用好FPGA内部的单元来实现所有的功能。

不知道Xinlinx的FPGA内部是否带模拟电路呢?ACTEL的是有的。

出0入0汤圆

发表于 2010-8-14 00:06:15 | 显示全部楼层
也可以通过频率可以粗调的正弦波信号发生器,通过控制模拟锁相环的分频系数实现正弦波信号的频率调节。

出0入0汤圆

发表于 2010-8-14 00:07:46 | 显示全部楼层
幅度控制还可以采用VGA放大器,用直流电压控制输出信号的幅度,直流电压还是通过PWM+RC滤波实现。

出0入0汤圆

发表于 2010-8-14 00:36:03 | 显示全部楼层
看7楼 这么一说,似乎这道题还是蛮难的    有空我也做一下

出0入4汤圆

发表于 2010-8-14 00:55:35 | 显示全部楼层
用电阻网络加滤波加跟随


似乎不是这么简单  10BIT  这个还没想出来纳闷弄   电阻网络+可控放大?

出0入0汤圆

发表于 2010-8-14 02:08:34 | 显示全部楼层
传个图纸 用PWM+RC滤波实现的 只不过当时PWM是用AVR单片机产生的而已频率低,替换成FPGA 产生 高频率的PWM

ourdev_574903.rar(文件大小:13K) (原文件名:PWM.rar)

出0入0汤圆

发表于 2010-8-14 13:10:17 | 显示全部楼层
回复【12楼】281229961 小朱
传个图纸 用pwm+rc滤波实现的 只不过当时pwm是用avr单片机产生的而已频率低,替换成fpga 产生 高频率的pwm
  
-----------------------------------------------------------------------

这种方法可能是一种较简单的解决方案。

出0入0汤圆

发表于 2010-8-14 21:59:09 | 显示全部楼层
回复【12楼】281229961 小朱
-----------------------------------------------------------------------

可否再具体说一下?

出0入0汤圆

发表于 2010-8-14 22:00:33 | 显示全部楼层
回复【13楼】navalguy2
-----------------------------------------------------------------------

需要怎么做呢?O(∩_∩)O~

出0入0汤圆

发表于 2010-8-14 22:02:26 | 显示全部楼层
真道题很简单的



一片CPLD就行不需要DA芯片

出0入0汤圆

发表于 2010-8-14 22:56:18 | 显示全部楼层
回复【16楼】yuhang
-----------------------------------------------------------------------

但是给提供的是FPGA开发板啊~

出0入0汤圆

发表于 2010-8-15 08:40:54 | 显示全部楼层
回复【17楼】sophy_heu 蒋碧涵
回复【16楼】yuhang  
-----------------------------------------------------------------------
但是给提供的是fpga开发板啊~
-----------------------------------------------------------------------

他说推荐FPGA又没说不让用CPLD

出0入24汤圆

 楼主| 发表于 2010-8-15 16:23:33 | 显示全部楼层
说这道题简单的人自己做做就知道其中难点了,呵呵

看上去的确很简单

出0入0汤圆

发表于 2010-8-15 18:19:02 | 显示全部楼层
一片ATXmega芯片差不多就OK了吧   32MHz频率   不过具体没试过   
我觉得难点在于频率分辨率    这个用PWM恐怕不是很好解决    毕竟晶振这个东西本来就不是完全精确的
要想频率精确到那种程度   晶振恐怕要非常好

出0入0汤圆

发表于 2010-8-15 19:11:43 | 显示全部楼层
想到一个办法:借鉴D类功率放大器的方法,假设需要输出10khz的正弦波,则FPGA或者CPLD采用SPWM的方式,将欲输出的10khz正弦波调制到更高的频率上,由于FPGA可以采用内部的PLL倍频到极高的频率,譬如10MHz以上,这样FPGA输出的SPWM方波信号,只需要经过简单的LC滤波即可滤除10MHz的高频信号,还原为正弦信号。由于调制信号很高,所以LC滤波就比较容易设计,体积也不大。

出0入0汤圆

发表于 2010-8-15 19:14:21 | 显示全部楼层
PWM+RC实现的10bit分辨率的幅度控制,感觉应该不难。看到过一个老外的板子,用PWM实现的20bit分辨率的DAC,效果就是很牛,采用24bit的DAC也达不到它的效果。

出0入0汤圆

发表于 2010-8-25 16:17:55 | 显示全部楼层
我想知道这道题的幅度调节那个分辨率,什么10BIT 、12BIT的是怎么回事儿啊!

出0入0汤圆

发表于 2010-8-28 21:55:03 | 显示全部楼层
所有指标已完成

出0入0汤圆

发表于 2010-8-28 22:11:42 | 显示全部楼层
那个幅度调节是怎么调的?

出0入0汤圆

发表于 2010-8-30 16:24:02 | 显示全部楼层
回复【24楼】yuhang
-----------------------------------------------------------------------

我现在正在看这个题目 ,能不能把你们做的发我一份 参考下,谢谢了

出0入0汤圆

发表于 2010-8-31 10:14:50 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-8-31 11:59:08 | 显示全部楼层
这题不难吧?

以前浙江省有一年的比赛题目三相信号发生器

可以参考一下的

主要也是FPGA做DDS嘛

出0入0汤圆

发表于 2010-8-31 12:03:50 | 显示全部楼层
不让使用AD,DA模块,你就使用R-2R电阻网络+可编程逻辑自己做DA,然后用比较器以逐次比较做AD。
自己搭AD/DA,总可以吧。

出0入0汤圆

发表于 2010-8-31 15:00:33 | 显示全部楼层
回复【24楼】yuhang
-----------------------------------------------------------------------

请问您是怎么做的,能否把资料上传一下呢?谢谢了

出0入0汤圆

发表于 2010-8-31 15:08:15 | 显示全部楼层
用SPWM更简单

出0入0汤圆

发表于 2010-8-31 19:52:33 | 显示全部楼层
回复【31楼】yuhang
-----------------------------------------------------------------------

可是网上SPWM的程序都是关于汇编的唉~~请问有没有关于VHDL的呢?

出0入0汤圆

发表于 2010-8-31 20:25:16 | 显示全部楼层
我直接出方波,然后使用多阶巴特沃兹滤波器将方波滤出来,正弦波形的稳定度可以达到0.05HZ,

出0入0汤圆

发表于 2010-8-31 20:55:21 | 显示全部楼层
作品电路中不得使用任何DAC芯片、DDS芯片或微处理器的DAC功能----------PWM R2R等等不是AD功能吗?禁用(想办法弄个振荡器吧,数字电位器算不算DA呀);头晕
(2)两路信号输出最大幅度不低于3V,幅度可调,设置分辨率不低于10bit;----不用DA怎么弄10Bit的幅度调节呀 7L办法好可是乘法器也要把设定值变为模拟吧;头痛
(3)信号频率范围从9.5kHz到10.5kHz可调,步进值不大于100Hz,频率准确度不低于0.1%;----一般晶体的精度1%左右吧,如果模拟震荡RC或LC的精度更难保证,还好如果再来个-50+125℃.....天旋地转呀
(4)正弦波信号在整个频率设置范围内,波形失真度不大于2%; --不考虑以上问题还挺容易来着

(5)两路信号的相位差可以在0—359度内可调,设置分辨力不大于1度; ---模拟震荡的噩梦,可变频率肯定会和角度有冲突,数字不用DA怎么把数字变成电压呀?

综合评价,题目水平不是一般的高,我除了擦边球之外肯定的零分;抓紧时间学习呀;

出0入0汤圆

发表于 2010-9-1 08:47:02 | 显示全部楼层
学习了哦

出0入0汤圆

发表于 2010-9-1 09:11:21 | 显示全部楼层
http://www.ourdev.cn/bbs/bbs_content.jsp?bbs_sn=4238323&bbs_page_no=1&search_mode=3&search_text=yuhang&bbs_id=9999

看看我的帖子吧


频率分辨率0.01Hz

相位 14bit
幅度 12bit
频率 32bit
占空比 14bit

出0入0汤圆

发表于 2010-9-1 12:32:03 | 显示全部楼层
有难度啊

出0入0汤圆

发表于 2010-9-1 13:46:07 | 显示全部楼层
第一反应是spwm滤波出,后来问一山大同学,他说他们那里是用fpga做了一个DA出来……囧RZ...整了半天人家是这意思……

出0入0汤圆

发表于 2010-9-1 13:57:29 | 显示全部楼层
1 bit DAC

出0入0汤圆

发表于 2010-9-1 21:58:23 | 显示全部楼层
回复【38楼】eggcar 各种杯具
-----------------------------------------------------------------------

呃~~好强大啊!!请问您的山大的同学是怎么做出来的啊?能否把资料共享一下呢?谢谢喽

出0入24汤圆

 楼主| 发表于 2010-9-1 23:39:00 | 显示全部楼层
没想到这么多人回帖,呵呵
明天上午就要测试了,现在心情比较紧张,只求明天能不出乱子。

首先我感觉PWM的方案不好,首先一条题目要求最低8KHz,最高12KHz,不用Matlab就知道8K的三次谐波只有24K,与12K相差太近,滤波难度太高。别的缺点还有一堆,好像还没听说有PWM方案在校内测试过关的。
用电阻网络搭DA的方法我在听说之前可是一点也没想到还能这么干,后来想一想,这个似乎很难解决信噪比的问题。
SPWM似乎很符合出题人的思路。但有个致命的缺点就是噪声太大,小信号的时候甚至会被噪声淹没,于是制作一个性能良好的低通滤波器就成了使用SPWM方案的关键。

其实发完本帖的第二天就用AVR ATmega128 + EP3C16 + SPWM 把基本功能实现了(后来发现搞不到题目推荐使用的那个FPGA板子,干脆单片机也不用了,直接NIOS II把C语言的工作全包了),后来的N天全部用来重复“想提高指标-》发现目前方案实现不了—》改进方案”的过程。

出0入0汤圆

发表于 2010-9-1 23:47:54 | 显示全部楼层
回复【41楼】ycwjl728 马克西蒙
-----------------------------------------------------------------------

高手,能否把你们做出来的资料共享一下呢?谢谢喽~~~这个难道你们不是用XILINX公司的板子做的吗?

出0入0汤圆

发表于 2010-9-2 00:01:13 | 显示全部楼层
回复【40楼】shanshan
-----------------------------------------------------------------------

表示xilinx的fpga我没用过,不知道是片内资源还是别的什么,只是讨论今年山东电赛的时候说起来了。。。

出0入24汤圆

 楼主| 发表于 2010-9-2 07:58:03 | 显示全部楼层
回复【42楼】shanshan
-----------------------------------------------------------------------

等测试完了效果还行的话就开源,成绩不好就不在这丢人了呵呵

出0入0汤圆

发表于 2010-9-2 09:28:29 | 显示全部楼层
回复【44楼】ycwjl728 马克西蒙
-----------------------------------------------------------------------

好嘞~~~祝福你们取得好成绩哈

出0入0汤圆

发表于 2010-9-2 21:59:22 | 显示全部楼层
我也快完成了。。。
DDS的IP核+电阻搭的DAC。。。这个应该符合要求吧
性能指标基本达到...

出0入0汤圆

发表于 2010-9-3 16:41:14 | 显示全部楼层
回复【46楼】cdyy777
-----------------------------------------------------------------------

好强大唉~~我也想用IP核,但做了好久了还是没弄出来,能否把您做的成果传一份给我们看看呢?谢谢喽~~~

出0入0汤圆

发表于 2010-9-4 10:45:59 | 显示全部楼层
为什么我做出来的正弦波的幅值很小呢,只有0.3V。。。

出0入0汤圆

发表于 2010-9-6 18:32:24 | 显示全部楼层
回复【44楼】ycwjl728 马克西蒙
-----------------------------------------------------------------------

你们的测试结果怎么样呀?肯定不错吧~~嘿嘿,是否开源呢?谢谢喽

出0入0汤圆

发表于 2010-9-6 20:39:38 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-11-6 22:50:06 | 显示全部楼层
马克

出0入0汤圆

发表于 2010-11-24 00:02:33 | 显示全部楼层
MARK

出0入0汤圆

发表于 2011-8-5 21:40:04 | 显示全部楼层
amrk

出0入0汤圆

发表于 2011-8-6 13:18:57 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-8-6 14:03:33 | 显示全部楼层
受益匪浅!

出0入0汤圆

发表于 2011-8-7 21:59:23 | 显示全部楼层
先用FPGA做一个DA转换,信号发出要么使用IP内核,也可使用FPGA做一个DDS,值得一试。不错
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-5-6 03:05

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表