搜索
bottom↓
回复: 15

小白请教FPGA 两个always传递标志问题?

[复制链接]

出0入0汤圆

发表于 2016-1-15 18:11:49 | 显示全部楼层 |阅读模式
本帖最后由 sohappyoh 于 2016-1-15 23:12 编辑

请教如何实现:

假设有两个时钟信号 CLK1和CLK2,CLK1<CLK2 , CLK1下降沿读取数据,CLK2输出数据,当输入数据没有更新的话(CLK1新的下降沿没有到来),输出0xFF。要是有更新的话输出输入数据。


reg [7:0] tmp;
always @(posedge CLK1)
        tmp = PORT_IN;

always @(posedge CLK2)
        PORT_OUT = tmp
       

阿莫论坛20周年了!感谢大家的支持与爱护!!

一只鸟敢站在脆弱的枝条上歇脚,它依仗的不是枝条不会断,而是自己有翅膀,会飞。

出0入17汤圆

发表于 2016-1-15 18:16:53 | 显示全部楼层
reg [7:0] tmp;
always @(posedge CLK1)
    if(PORT_IN == tmp)
        tmp = 8'hFF;
    else
        tmp = PORT_IN;

出0入0汤圆

 楼主| 发表于 2016-1-15 18:29:51 | 显示全部楼层
本帖最后由 sohappyoh 于 2016-1-15 18:31 编辑
Nuker 发表于 2016-1-15 18:16
reg [7:0] tmp;
always @(posedge CLK1)
    if(PORT_IN == tmp)

有其他形式吗

出0入0汤圆

发表于 2016-1-15 21:51:57 | 显示全部楼层
以上都不行,建议使用握手或FIFO的方式。

出0入0汤圆

发表于 2016-1-15 21:57:15 | 显示全部楼层
不对吧,这样没更新数据,输出的就是之前的值了,我觉得应该是这样
input PORT_IN;
output PORT_OUT;
reg [7:0] tmp;
always @(posedge CLK1)
begin
        tmp = 8'hff;                              //先置位0xff
        @PORT_IN tmp = PORT_IN;       //如果输入信号改变,载入输入信号
end


always @(posedge CLK2)
        @tmp PORT_OUT = tmp;

出0入0汤圆

发表于 2016-1-15 22:06:34 | 显示全部楼层
1.异步时钟域的数据传输一般要用fifo处理。
2直接用一个时钟去读取另外一个时钟域的时钟会产生亚稳态。就是二楼的写法。
3.我不太明白你是怎么定义“是否有数据更新”的。判断当前采集到数据和之前的不一样?如果前后两帧数据碰巧是相同的呢?

出0入8汤圆

发表于 2016-1-15 22:12:21 | 显示全部楼层
"输入数据没有更新"的定义是什么?

出0入0汤圆

 楼主| 发表于 2016-1-15 23:04:08 | 显示全部楼层
prow 发表于 2016-1-15 22:12
"输入数据没有更新"的定义是什么?

对不起啊,描述错误,其实每个是CLK1上升沿都一次数据

出0入0汤圆

 楼主| 发表于 2016-1-15 23:07:36 | 显示全部楼层
辰星和月 发表于 2016-1-15 22:06
1.异步时钟域的数据传输一般要用fifo处理。
2直接用一个时钟去读取另外一个时钟域的时钟会产生亚稳态。就是 ...

谢谢你的回复啊,如果相同也属于更新啊!

出0入0汤圆

 楼主| 发表于 2016-1-15 23:11:32 | 显示全部楼层
lzl000 发表于 2016-1-15 21:57
不对吧,这样没更新数据,输出的就是之前的值了,我觉得应该是这样
input PORT_IN;
output PORT_OUT;

谢谢,可能我的表达有误,你的这种方法有个缺陷就是,CLK1 两次输入的数据相同,也被判定没有数据更新,其实是CLK1下降沿即数据被更新,请教如何处理。

出0入0汤圆

发表于 2016-1-15 23:13:48 | 显示全部楼层
sohappyoh 发表于 2016-1-15 23:11
谢谢,可能我的表达有误,你的这种方法有个缺陷就是,CLK1 两次输入的数据相同,也被判定没有数据更新, ...

没啊,后面一个@,如果输入改变,就更新了。阻塞赋值,串行执行的

出0入0汤圆

 楼主| 发表于 2016-1-15 23:18:53 | 显示全部楼层
lzl000 发表于 2016-1-15 23:13
没啊,后面一个@,如果输入改变,就更新了。阻塞赋值,串行执行的

假设多次CLK1下降沿都是0x06, 有可能就会输出0x06 , 0xFF, 0xFF, 0xFF 。。。

出0入0汤圆

发表于 2016-1-15 23:35:42 | 显示全部楼层
异步时钟用FIFO,但FIFO会有延时,对延时有要求吗?如果延时没要求,直接判断FIFO是否为空就可以解决多次数据相同的问题。
另外,CLK1比CLK2慢多少?如果慢得多,可以用CLK2做主时钟读CLK1,判断CLK1的下降沿,可以解决亚稳态的问题,省下FIFO,也可以解决多次数据相同的问题。

出0入0汤圆

发表于 2016-1-15 23:37:39 | 显示全部楼层
FCLK_OUT > FCLK_IN * 2 情况下



input wire CLK_IN;
input wire CLK_OUT;

reg [7:0] rDataIn;
reg [7:0] rDataOut;
reg rDataInUpdated;

always @ (posedge CLK_OUT) begin
        if (CLK_IN == 1'b0 and rDataInUpdated == 1'b0) begin
                rDataInUpdated <= 1'b1
                rDataOut <= rDataIn;
        end else begin
                if (CLK_IN == 1'b1) begin
                        rDataInUpdated <= 1'b0;
                end
                rDataOut <= 8'hff;
        end
end


出0入0汤圆

发表于 2016-1-16 15:46:42 | 显示全部楼层
sohappyoh 发表于 2016-1-15 23:18
假设多次CLK1下降沿都是0x06, 有可能就会输出0x06 , 0xFF, 0xFF, 0xFF 。。。 ...

那不就是没更新这个数据吗

出0入0汤圆

发表于 2016-1-16 19:52:12 | 显示全部楼层
可以用一个更快的时钟作为同步时钟,去判断两个时钟的下降沿和更新数据。
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-5-13 01:27

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表