搜索
bottom↓
回复: 6

请教问题使用两路时钟fpga输出脉冲占空比不对称问题

[复制链接]

出0入0汤圆

发表于 2018-11-17 18:54:13 | 显示全部楼层 |阅读模式
由于fpga不太精通,特请高手讨论,想实现的功能是输出可改变频率的PWM。使用两路钟,两路时钟由同一系统时钟分出,相差6倍,快的时钟用来做计算,慢的用来计算翻转周期。
翻转周期代码如下:
                         always@(negedge clk)
                        begin
                        cnt_V <= (cnt_A >> 1);
                        if(cnt2 >cnt_V)begin
                                cnt2 <= 1;
                                dir_r1 <= ~dir_r1;
                        end
                        else begin
                                cnt2 <= cnt2 + 1'b1;
                                dir_r1 <= dir_r1;
                        end
                     end
        每一次慢时钟上升沿将触发一次。
      
输出则因为要选择模式所以由快时钟输出
        always@(negedge fastclk)
        begin
                                        w_clk_out <= dir_r1 && (constant_start[0] | start_sig_t[0]);
        end

现在问题是:如果cnt_A 和cnt_V 为固定数也是一样无法输出对称波形 以下是300K时的波形,快时钟频率为300MHZ,计算周期时钟为50MHZ,使用仿真没有问题,实际应用就成这鸟样了。


请高手指点一二,如能解决必有重谢

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

出0入0汤圆

发表于 2018-11-17 21:46:25 | 显示全部楼层
本帖最后由 NJ8888 于 2018-11-17 21:47 编辑

你的逻辑仪采集速度多少?300MHz加法也是要求比较高的,你不要有加法,用shift

出0入442汤圆

发表于 2018-11-17 22:15:59 来自手机 | 显示全部楼层
NJ8888 发表于 2018-11-17 21:46
你的逻辑仪采集速度多少?300MHz加法也是要求比较高的,你不要有加法,用shift ...

或者分段加法。400mhz做4bit加法也是可以的,分段就好了。不过我写的频率计总会有几百个数字的偏移,也懒得去查了,500mhz下计得的数据可能是500.15mhz左右。

出0入0汤圆

 楼主| 发表于 2018-11-18 07:51:16 | 显示全部楼层
NJ8888 发表于 2018-11-17 21:46
你的逻辑仪采集速度多少?300MHz加法也是要求比较高的,你不要有加法,用shift ...

用加法会导致延迟吗?我以为都是一个边沿能搞定的。辑仪5MHZ,最高是可以100MHZ的。300mhz是用来算别的,这个加1加1的用的是50mhz

出0入0汤圆

 楼主| 发表于 2018-11-18 07:55:37 | 显示全部楼层
wye11083 发表于 2018-11-17 22:15
或者分段加法。400mhz做4bit加法也是可以的,分段就好了。不过我写的频率计总会有几百个数字的偏移,也懒 ...

搞fpga经验不多,不太明白,位数越多做加法会有延迟越久吗?我以为它能一个边沿搞定所有事,那是不是跟单片机一样单条代码计算越复杂需要时间越久。

出0入442汤圆

发表于 2018-11-18 09:33:40 来自手机 | 显示全部楼层
wuminglin1989 发表于 2018-11-18 07:55
搞fpga经验不多,不太明白,位数越多做加法会有延迟越久吗?我以为它能一个边沿搞定所有事,那是不是跟单 ...

没错。组合逻辑就像每条汇编指令,越复杂越慢,延时是fpga的缺点。asic可以各种布线,所以延时一般可以忽略了。

出0入0汤圆

 楼主| 发表于 2018-11-18 10:19:47 | 显示全部楼层
wye11083 发表于 2018-11-18 09:33
没错。组合逻辑就像每条汇编指令,越复杂越慢,延时是fpga的缺点。asic可以各种布线,所以延时一般可以忽 ...

原来如此,谢谢。那我试一下把位数减少试试。不过整体的复杂度太高,也许也没作用。
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-5-5 06:34

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表