搜索
bottom↓
回复: 22

好头疼的CPLD 通讯协议调试!!找不到合适的调试方法

[复制链接]

出10入12汤圆

发表于 2018-12-1 19:27:01 | 显示全部楼层 |阅读模式
好头疼的CPLD 通讯协议调试!!特不方便啊  ,  用Logic Analyzer 资源不够!!!! 写test Bench ,一个协议几百ms,  电脑仿真不过来   。。。  特慢

阿莫论坛20周年了!感谢大家的支持与爱护!!

月入3000的是反美的。收入3万是亲美的。收入30万是移民美国的。收入300万是取得绿卡后回国,教唆那些3000来反美的!

出100入0汤圆

发表于 2018-12-1 19:51:44 | 显示全部楼层
用示波器吧,  用一个引脚输出不同频率的信号,分段调试.

出10入12汤圆

 楼主| 发表于 2018-12-1 20:07:04 | 显示全部楼层
wqsjob 发表于 2018-12-1 19:51
用示波器吧,  用一个引脚输出不同频率的信号,分段调试.

现在就在用这种方法。。。 每个信号都需要写段代码  输出 特麻烦

出0入22汤圆

发表于 2018-12-1 20:09:57 来自手机 | 显示全部楼层
通信协议?状态机?为嘛不在fpga里面搞好了再移植过去呢?

出100入0汤圆

发表于 2018-12-1 20:12:33 | 显示全部楼层
状态机   i

a定义成20位, a(i) 输出到引脚,我一般这样调试.

出10入12汤圆

 楼主| 发表于 2018-12-1 20:21:20 | 显示全部楼层
zxq6 发表于 2018-12-1 20:09
通信协议?状态机?为嘛不在fpga里面搞好了再移植过去呢?

硬件接在CPLD上

出0入442汤圆

发表于 2018-12-1 21:58:52 | 显示全部楼层

你拉一个脚走uart出来,发包即可。我调fpga那么多信号还不是只查状态机。只要状态机不挂就ok。

出10入12汤圆

 楼主| 发表于 2018-12-1 23:07:38 | 显示全部楼层
wye11083 发表于 2018-12-1 21:58
你拉一个脚走uart出来,发包即可。我调fpga那么多信号还不是只查状态机。只要状态机不挂就ok。 ...

有道理  我经常出问题也是出在状态机上!!!!   条CPLD比较烦!!! 资源少 。。。 不好调。   日子过得紧巴巴   想进健身房都没钱

出0入442汤圆

发表于 2018-12-1 23:27:16 来自手机 | 显示全部楼层
wowangru 发表于 2018-12-1 23:07
有道理  我经常出问题也是出在状态机上!!!!   条CPLD比较烦!!! 资源少 。。。 不好调。   日子过 ...

开发时用大片子,告诉老板,不换大的片子要多花10倍以上的时间调bug。

出0入0汤圆

发表于 2018-12-2 07:26:28 来自手机 | 显示全部楼层
小逻辑快先用modelsim,逻辑跑通以后。再把小逻辑快集成到一起再仿。仿好了,在fpga里验证,最后写进cpld。
直接上板子cpld硬调,辛苦哥们了。

出0入59汤圆

发表于 2018-12-2 11:00:52 | 显示全部楼层
单步调试

出0入0汤圆

发表于 2018-12-2 11:09:35 | 显示全部楼层

还可以任意设置断点!!

出0入0汤圆

发表于 2018-12-2 13:36:30 来自手机 | 显示全部楼层
wowangru 发表于 2018-12-1 20:07
现在就在用这种方法。。。 每个信号都需要写段代码  输出 特麻烦

不能跟单片机一样串口打印和led抓波行么

出10入12汤圆

 楼主| 发表于 2018-12-2 16:16:47 | 显示全部楼层
huangqi412 发表于 2018-12-2 13:36
不能跟单片机一样串口打印和led抓波行么

问题是没有资源给串口

出5入0汤圆

发表于 2019-4-16 08:32:06 | 显示全部楼层
我也遇到过,调试光靠猜,后面弄了块FPGA的开发板,先功能调试通过后,再移植过去

出10入12汤圆

 楼主| 发表于 2019-4-16 09:27:17 | 显示全部楼层
selme 发表于 2019-4-16 08:32
我也遇到过,调试光靠猜,后面弄了块FPGA的开发板,先功能调试通过后,再移植过去 ...

兄弟 好想法

出150入640汤圆

发表于 2019-4-16 10:48:18 | 显示全部楼层
NC Verilog可以帮到你,放在云主机上跑,睡一觉,第二天取结果就行了。我司以前都是这么干的

出0入4汤圆

发表于 2019-4-16 12:03:09 来自手机 | 显示全部楼层
仿真还做一下还是要做一下,别老觉着搭环境写tb费时间,磨刀不误砍柴工。

出0入0汤圆

发表于 2019-5-12 10:18:44 | 显示全部楼层
5000块我三天给你搞定

出0入0汤圆

发表于 2019-5-12 10:53:09 来自手机 | 显示全部楼层
CPLD适合黏合逻辑。搞协议用FPGA

出0入0汤圆

发表于 2019-5-12 21:32:13 | 显示全部楼层
dragonlands 发表于 2019-4-16 10:48
NC Verilog可以帮到你,放在云主机上跑,睡一觉,第二天取结果就行了。我司以前都是这么干的 ...

这和用modelsim或者vcs仿真有啥区别?

出0入4汤圆

发表于 2019-5-13 08:29:58 | 显示全部楼层
想起以前调试网络IP,UDP, 步进电机运动协议时酸爽, 编译一次25分钟.  只能用testbench模拟. 写了N多行.  实际证明testbench写的越多, 越可以解决bug.

出0入25汤圆

发表于 2020-9-16 09:55:40 | 显示全部楼层
qinxg 发表于 2019-5-13 08:29
想起以前调试网络IP,UDP, 步进电机运动协议时酸爽, 编译一次25分钟.  只能用testbench模拟. 写了N多行.  实 ...

testbanch 真是好东西。。。。。。

最近也是累趴了.

model sim 比较慢, 这么搞。
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-4-27 06:11

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表