搜索
bottom↓
回复: 0

《新起点V2之FPGA开发指南》第十一章 触摸按键控制LED灯实验

[复制链接]

出0入234汤圆

发表于 2021-9-29 15:50:49 | 显示全部楼层 |阅读模式
本帖最后由 正点原子 于 2021-10-30 10:25 编辑

1)实验平台:正点原子新起点V2FPGA开发板
2)  章节摘自【正点原子】《新起点之FPGA开发指南 V2.1》
3)购买链接:https://detail.tmall.com/item.htm?id=609758951113
4)全套实验源码+手册+视频下载地址:http://www.openedv.com/thread-328002-1-1.html
5)正点原子官方B站:https://space.bilibili.com/394620890
6)正点原子FPGA技术交流QQ群:712557122
1.png

2.jpg


3.png


第十一章 触摸按键控制LED灯实验

       随着电子技术的不断发展,按键的应用场景越来越广泛。触摸按键在稳定性、使用寿命、抗干扰能力等方面都优于传统的机械按键,被广泛应用于遥控器,便携电子设备,楼道电灯开关,各类家电控制面板等方面。本章将介绍触摸按键的控制方法并使用开发板上的触摸按键控制led的亮灭。
       本章分为以下几个章节:
       11.1简介
       1.2实验任务
       1.3硬件设计
       1.4程序设计
       1.5下载验证

1.1简介
       触摸按键主要可分为四大类:电阻式、电容式、红外感应式以及表面声波式。根据其属性的不同,每种触摸按键都有其合适的使用领域。
       电阻式触摸按键由多块导电薄膜按照按键的位置印制而成,但由于耐用性较差且维护复杂,目前使用率较低;红外感应式触摸按键通过红外扫描来识别按键位置,一般在较恶劣的环境下使用;表面声波式触摸按键利用声波扫描来识别是否按下,使用寿命长,较适合公共场所的POS机,无人售货机等处使用。
       电容式触摸按键:这种按键的诞生主要是为了克服电阻式按键耐用性差的不足所提出的。电容式触摸按键采用电容量为评判标准,其感应区域可穿透绝缘外壳(玻璃、塑料等)20mm以上。其灵敏度和可靠性不会因环境条件的改变或长期使用而发生变化,具有防水、强抗干扰能力强、适应温度范围广以及使用寿命长等优点,是现代使用最广泛,发展最迅速的一种触摸按键。
       接下来,我们具体的了解一下电容触摸按键的构造和工作原理。
       电容触摸按键主要由按键IC部分和电容部分构成。按键IC部分主要由元器件供应商提供,用于将电容的变化转换为电信号。电容部分指的是由电容极板,地,隔离区等组成触摸按键的电容环境。
       任何两个导电的物体之间都存在着感应电容,在周围环境不变的情况下,该感应电容值是固定不变的。如图 11.1.1所示,手指接触到触摸按键时,按键和手指之间产生寄生电容,使按键的总容值增加。
触摸按键控制LED灯实验789.png

图 11.1.1 触摸按键寄生电容示意图

触摸按键按下前后,电容的变化如图 11.1.2所示。电容式触摸按键IC在检测到按键的感应电容值改变,并超过一定的阈值后,将输出有效信号表示按键被按下。
触摸按键控制LED灯实验956.png

图 11.1.2 触摸过程电容变化示意图

1.2实验任务
       使用触摸按键控制LED灯亮灭,开发板上电后LED为点亮状态,手指触摸后LED熄灭,再次触摸,LED点亮。
1.3硬件设计
       新起点开发板上的触摸按键部分的原理图如图 11.3.1所示、多功能端口原理图如图 11.3.2所示。
触摸按键控制LED灯实验1186.png

图 11.3.1 触摸按键电路原理图

触摸按键控制LED灯实验1250.png

图 11.3.2 多功能端口原理图

       开发板上所使用的触摸IC型号为AR101,它可以通过OP1和OP2两个引脚选择不同的工作模式:OP1拉低时,OUT引脚输出信号高电平有效;OP1拉高时,OUT输出信号低电平有效。
       当OP2拉低时,触摸IC工作在同步模式(类似于非自锁的轻触按键),即触摸时输出有效电平,松开后无有效电平输出;OP2拉高时触摸IC工作在保持模式(类似于自锁按键),即检测到触摸操作后输出有效电平,松开后,输出电平保持不变。当再次检测到触摸操作时,输出电平变化并继续保持。
       如图 11.3.1所示,触摸IC的引脚OP1和OP2均拉低,因此当手指按在触摸按键上时,TOUT管脚输高电平,松开后输出低电平。
       这里需要注意的是,由图 11.3.1和图 11.3.2所可知,TOUT引脚并没有直接连接到FPGA引脚,而是连接到P4多功能端口上,而P4上的TPAD是和FPGA的引脚相连接的,因此,我们在做触摸按键的实验时,需要使用跳帽或者杜邦线将P4上的TPAD和TOUT短接在一起。
       本实验中,系统时钟、复位按键、触摸按键和LED灯的管脚分配如下表所示。
1.png

表 11.3.1 触摸按键控制LED管脚分配图

对应的TCL约束语句如下:
  1. set_location_assignment PIN_M2 -to sys_clk
  2. set_location_assignment PIN_M1 -to sys_rst_n
  3. set_location_assignment PIN_F8 -to touch_key
  4. set_location_assignment PIN_D11 -to led
复制代码

1.4程序设计
      本次设计的模块端口及信号连接如图 11.4.1所示。通过对按键信号上升沿的识别来进行控制信号的切换,起到控制LED亮灭的效果。
2.png

图 11.4.1 模块端口及信号连接图

触摸按键控制led代码如下:
  1. 1   module touch_led(
  2. 2       //input
  3. 3       input        sys_clk,      //时钟信号50Mhz
  4. 4       input        sys_rst_n,    //复位信号
  5. 5       input        touch_key,    //触摸按键
  6. 6   
  7. 7       //output
  8. 8       output  reg  led           //LED灯
  9. 9   );
  10. 10  
  11. 11  //reg define
  12. 12  reg    touch_key_d0;
  13. 13  reg    touch_key_d1;
  14. 14  
  15. 15  //wire define
  16. 16  wire   touch_en;
  17. 17  
  18. 18  //*****************************************************
  19. 19  //**                    main code
  20. 20  //*****************************************************
  21. 21  
  22. 22  //捕获触摸按键端口的上升沿,得到一个时钟周期的脉冲信号
  23. 23  assign  touch_en = (~touch_key_d1) & touch_key_d0;
  24. 24  
  25. 25  //对触摸按键端口的数据延迟两个时钟周期
  26. 26  always @ (posedge sys_clk or negedge sys_rst_n) begin
  27. 27      if(!sys_rst_n) begin
  28. 28          touch_key_d0 <= 1'b0;
  29. 29          touch_key_d1 <= 1'b0;
  30. 30      end
  31. 31      else begin
  32. 32          touch_key_d0 <= touch_key;
  33. 33          touch_key_d1 <= touch_key_d0;
  34. 34      end
  35. 35  end
  36. 36  
  37. 37  //根据触摸按键上升沿的脉冲信号切换led状态
  38. 38  always @ (posedge sys_clk or negedge sys_rst_n) begin
  39. 39      if (!sys_rst_n)
  40. 40          led <= 1'b1;       //默认状态下,点亮LED
  41. 41      else begin
  42. 42          if (touch_en)
  43. 43              led <= ~led;
  44. 44      end
  45. 45  end
  46. 46  
  47. 47  endmodule
复制代码

       第23行至35代码为一个经典的边沿检测电路,通过检测touch_key的上升沿来捕获按键按下的信号,一旦检测到按键按下,输出一个时钟周期的脉冲touch_en。每当检测到touch_en为高电平,led取反一次。
       为了验证我们的程序,我们在modelsim内对代码进行仿真。
       Test bench模块代码如下:
  1. 1   `timescale 1ns/1ns
  2. 2   module tb_touch_led();
  3. 3   
  4. 4   //parameter define
  5. 5   parameter   T =20 ;
  6. 6   
  7. 7   //reg define
  8. 8   reg sys_clk;
  9. 9   reg sys_rst_n;
  10. 10  reg touch_key;
  11. 11  
  12. 12  //wire define
  13. 13  wire led;
  14. 14  
  15. 15  //*****************************************************
  16. 16  //**                    main code
  17. 17  //*****************************************************
  18. 18  
  19. 19  //给输入信号初始值
  20. 20  initial begin
  21. 21      sys_clk              <=1'b0;
  22. 22      sys_rst_n            <=1'b0;
  23. 23      touch_key            <=1'b0;
  24. 24      #20  sys_rst_n       <=1'b1;
  25. 25      #10  touch_key       <=1'b1;
  26. 26      #30  touch_key       <=1'b0;
  27. 27      #110 touch_key       <=1'b1;
  28. 28      #30  touch_key       <=1'b0;
  29. 29  end
  30. 30  
  31. 31  //50Mhz的时钟,周期则为1/50Mhz=20ns,所以每10ns,电平取反一次
  32. 32  always # (T/2) sys_clk <= ~sys_clk;
  33. 33  
  34. 34  touch_led  u0(
  35. 35  .sys_clk(sys_clk),
  36. 36  .sys_rst_n(sys_rst_n),
  37. 37  .touch_key(touch_key),
  38. 38  .led(led)
  39. 39  );
  40. 40  endmodule      
复制代码

       仿真波形如图 11.4.2所示。
触摸按键控制LED灯实验4758.png

图 11.4.2 仿真波形图

       测试代码中,第20至29行为信号的激励,起始时sys_clk、sys_rst_n、touch_key为低电平,20ns时将复位信号拉高,10ns后将touch_key拉高并保持30ns,模拟第一次按键触摸操作,110ns后再将touch_key拉高并保持30ns,模拟第二次按键触摸操作。从图 11.4.2仿真波形图可以看出,在第一次按下按键后led由高电平变为低电平,再次按下后,led电平再次发生改变。
1.5下载验证
       首先我们打开touch_led工程,在工程所在的路径下打开touch_led/par文件夹,在里面找到“touch_led.qpf”并双击打开。注意工程所在的路径名只能由字母、数字以及下划线组成,不能出现中文、空格以及特殊字符等。touch_led工程打开后如图 11.5.1所示。
触摸按键控制LED灯实验5220.png

图 11.5.1 打开触摸按键控制led工程

       将USB-Blaster下载器一端连接电脑,另一端与开发板上的JTAG下载口相连,并确保跳帽已经连接,如图 11.5.2所示。然后连接电源线并打开电源开关。
触摸按键控制LED灯实验5392.png

图 11.5.2 新起点触控按键、跳帽

       硬件连接完成后通过点击工具栏中的“Programmer”图标(图 11.5.1中红框位置)打开下载界面。
       开发板电源打开后,在程序下载界面点击“Hardware Setup”,在弹出的对话框中选择当前的硬件连接为“USB-Blaster”。然后点击“Start”将工程编译完成后得到的sof文件下载到开发板中。
触摸按键控制LED灯实验5638.png

图 11.5.3 下载界面

      下载界面如图 11.5.3所示,查看图中红色矩形框中是否已经加载下载文件(sof文件)。如果没有,则需要通过点击“Add File”按钮添加流水灯工程中touch_led/par/output_files目录下的“touch_led.sof”文件。
      下载完成后,就可以在板卡上测试触摸按键控制led的亮灭了,如图 11.5.2所示。

回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-5-3 18:26

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表