搜索
bottom↓
回复: 0

《ATK-DFPGL22G之FPGA开发指南_V1.0》第十三章 串口通信实验

[复制链接]

出0入234汤圆

发表于 2023-6-7 10:02:34 | 显示全部楼层 |阅读模式
本帖最后由 正点原子 于 2023-6-7 10:12 编辑

1)实验平台:正点原子 DFZU2EG_4EV MPSoC开发板
2)购买链接:https://item.taobao.com/item.htm?&id=692368045899
3)全套实验源码+手册+视频下载地址:http://www.openedv.com/thread-340252-1-1.html
4)正点原子官方B站:https://space.bilibili.com/394620890
5)正点原子FPGA交流群:994244016 lQLPJxaFi2zaB4UWWrDAMgIsFEW2pwLb3abnwDMA_90_22.png
lQDPJxaFi2nfFizMjM0CbLCPlxn_FVheIQLb3aGrwFQA_620_140.jpg

lQLPJxaFi2nfFhLMkM0BXrDNvOUyeU_FPgLb3aGvQNIA_350_144.png

第十三章 串口通信实验


本章将介绍使用串口进行数据的收发操作,具体实现APM32E103与上位机软件的数据通信,APM32E103将接受自上位机软件的数据原原本本地发送回给上位机软件。通过本章的学习,读者将学习到USART和GPIO引脚复用的使用。
本章分为如下几个小节:
13.1 硬件设计
13.2 程序设计
13.3 下载验证


13.1 硬件设计
13.1.1 例程功能

1. 回显串口接收到的数据
2. 每间隔一定时间,串口发送一段提示信息
3. LED0闪烁,提示程序正在运行
13.1.2 硬件资源
1. USART1(PA9、PA10连接至板载USB转串口芯片上)
2. LED
        LED0 - PB5
13.1.3 原理图
本章实验使用的USART1通过跳线帽与板载的USB转串口芯片连接,其原理图如下图所示:
第十三章 串口通信实验368.png
图13.1.3.1 USART1与USB转串口芯片跳线帽连接


因此在进行本章实验之前,需确保上图位置中正确安装了跳线帽
第十三章 串口通信实验432.png
图13.1.3.2 串口通信跳线帽位置

板载的USB转串口芯片的USB接口通过板载的USB UART端口引出,其原理图如下图所示:
第十三章 串口通信实验500.png
图13.1.3.3 USB转串口芯片相关原理图

从以上原理图可以看出,PA9引脚和PA10引脚分别作为发送和接收引脚分别与USB转串口芯片的接收和发送引脚进行连接,USB转串口芯片再通过一对USB差分信号连接至USB UART的接口,这样一来,APM32E103就可以通过USB与PC上位机软件进行串口通信了。
13.2 程序设计
13.2.1 Geehy标准库的GPIO驱动

针对本章的实验要求,需要将串口发送引脚配置成复用推挽输出模式,而串口接收引脚配置成上拉输入模式。
13.2.2 Geehy标准库的USART驱动
Geehy标准库的USART驱动提供了操作APM32E103片上USART的各种API函数,其中就包括配置USART、使能USART等函数,本章实验还是能了USART中断用于接收USART数据。配置并使用USART收发数据的步骤。配置USART的具体步骤如下所示:
①:配置USART
②:使能USART
③:使能USART接收缓冲区非空中断
④:使能USART中断,并配置其相关的中断优先级
在Geehy标准库中对应的驱动函数如下:
①:配置USART
该函数用于配置USART的各项参数,其函数的原型如下所示:
void USART_Config(USART_T* usart, USART_Config_T* usartConfig);
该函数的形参描述,如下表所示:
lQLPJwFT_8EckE7Mgs0CErCKmCtIF_fVtgR1qHQpQOIA_530_130.png
表13.2.2.1 函数USART_Config()形参描述

该函数的返回值描述,如下表所示:
lQLPJwrQWbEPa84tzQITsB2QyAyPjEfMBHWogyHAaAA_531_45.png
表13.2.2.2 函数USART_Config()返回值描述

该函数使用USART_Config_T类型的结构体变量传入USART外设的配置参数,该结构体的定义如下所示:
/**
* @brief   USART Word Length define
*/
typedef enum
{
    USART_WORD_LEN_8B = 0,                                        /* 8位数据长度 */
    USART_WORD_LEN_9B = BIT12                                /* 9位数据长度 */
} USART_WORD_LEN_T;

/**
* @brief   USART Stop bits define
*/
typedef enum
{
    USART_STOP_BIT_1   = 0,                                    /* 1比特停止位 */
    USART_STOP_BIT_0_5 = BIT12,                                /* 0.5比特停止位 */
    USART_STOP_BIT_2   = BIT13,                                /* 2比特停止位 */
    USART_STOP_BIT_1_5 = BIT12 | BIT13                /* 1.5比特停止位 */
} USART_STOP_BIT_T;

/**
* @brief   USART Parity define
*/
typedef enum
{
    USART_PARITY_NONE  = 0,                                        /* 无校验 */
    USART_PARITY_EVEN  = BIT10,                                /* 偶校验 */
    USART_PARITY_ODD   = BIT10 | BIT9                /* 奇校验 */
} USART_PARITY_T;

/**
* @brief   USART mode define
*/
typedef enum
{
    USART_MODE_RX      = BIT2,                                /* 接收模式 */
    USART_MODE_TX      = BIT3,                                /* 发送模式 */
    USART_MODE_TX_RX   = BIT2 | BIT3                /* 收发模式 */
} USART_MODE_T;

/**
* @brief   USART hardware flow control define
*/
typedef enum
{
    USART_HARDWARE_FLOW_NONE    = 0,                /* 无硬件流控 */
    USART_HARDWARE_FLOW_RTS     = BIT8,        /* RTS硬件流控 */
    USART_HARDWARE_FLOW_CTS     = BIT9,                        /* CTS硬件流控 */
    USART_HARDWARE_FLOW_RTS_CTS = BIT8 | BIT9                /* RTS和CTS硬件流控 */
} USART_HARDWARE_FLOW_T;

/**
* @brief   USART Config struct definition
*/
typedef struct
{
    uint32_t                  baudRate;           /* 通信波特率 */
    USART_WORD_LEN_T          wordLength;         /* 数据宽度 */
    USART_STOP_BIT_T          stopBits;           /* 停止位 */
    USART_PARITY_T            parity;             /* 校验位 */
    USART_MODE_T              mode;               /* 模式 */
    USART_HARDWARE_FLOW_T     hardwareFlow;       /* 硬件流控 */
} USART_Config_T;
该函数的使用示例,如下所示:
#include "apm32e10x.h"
#include "apm32e10x_usart.h"

void example_fun(void)
{
    USART_Config_T usart_init_struct;
   
    /* 配置USART1 */
    usart_init_struct.baudRate                = 115200;
    usart_init_struct.wordLength        = USART_WORD_LEN_8B;
    usart_init_struct.stopBits                = USART_STOP_BIT_1;
    usart_init_struct.parity                = USART_PARITY_NONE;
    usart_init_struct.mode                        = USART_MODE_TX_RX;
    usart_init_struct.hardwareFlow        = USART_HARDWARE_FLOW_NONE;
    USART_Config(USART1, &usart_init_struct);
}
②:使能USART
该函数用于使能USART外设,其函数原型如下所示:
void USART_Enable(USART_T* usart);
该函数的形参描述,如下表所示:
lQLPJwnayUJ_1A5BzQITsP0_Psn3woSJBHWokFrA3wA_531_65.png
表13.2.2.3 函数USART_Enable()形参描述

该函数的返回值描述,如下表所示:
997C8C53-3DCA-4ced-A4CB-E1D82D44D5A1.png
表13.2.2.4 函数USART_Enable()返回值描述

该函数的使用示例,如下所示:
#include "apm32e10x.h"
#include "apm32e10x_usart.h"

void example_fun(void)
{
    /* 使能USART1 */
    USART_Enable(USART1);
}
③:使能USART指定的中断
该函数用于使能USART指定的中断,其函数原型如下所示:
void USART_EnableInterrupt(USART_T* usart, USART_INT_T interrupt);
该函数的形参描述,如下表所示:
lQLPJwx70AIcTY7MgM0CEbAFjI6N68IwWwR1qJ1SwOIA_529_128.png
表13.2.2.5 函数USART_EnableInterrupt()形参描述

该函数的返回值描述,如下表所示:
D05E3D44-C7DD-4f5d-8CFA-AA712FF66051.png
表13.2.2.6 函数USART_EnableInterrupt()返回值描述

该函数的使用示例,如下所示:
#include "apm32e10x.h"
#include "apm32e10x_usart.h"

void example_fun(void)
{
    /* 使能USART1的接收缓冲区非空中断 */
    USART_EnableInterrupt(USART1, USART_INT_RXBNE);
   
    /* 使能USART1的发送完成中断 */
    USART_EnableInterrupt(USART1, USART_INT_TXC);
}
④:配置USART中断
请见第12.2.2小节中配置中断的相关内容。
使用USART发送数据的具体步骤如下所示:
①:等待USART发送缓冲区为空
②:往USART发送缓冲区写入数据
在Geehy标准库中对应的驱动函数如下:
①:获取USART状态标志
该函数用于获取USART的状态标志,其函数原型如下所示:
uint8_t USART_ReadStatusFlag(USART_T* usart, USART_FLAG_T flag);
该函数的形参描述,如下表所示:
lQLPJxAtr-FF5A7MgM0CE7CkbF3Ggj5jfgR1qKbJQGgA_531_128.png
表13.2.2.7 函数USART_ReadStatusFlag()形参描述

该函数的返回值描述,如下表所示:
lQLPJwNSOdRZDI5BzQIUsMvc58v7Iy4WBHWos33A3wA_532_65.png
表13.2.2.8 函数USART_ReadStatusFlag()返回值描述

该函数的使用示例,如下所示:
#include "apm32e10x.h"
#include "apm32e10x_usart.h"

void example_fun(void)
{
    uint8_t status;
   
    /* 读取USART1外设的发送缓冲区为空状态标志 */
    status = USART_ReadStatusFlag(USART1, USART_FLAG_TXBE);
    if (status == SET)
    {
        /* Do something. */
    }
    else
    {
        /* Do something. */
    }
}
②:USART发送单个数据
该函数用于使用USART发送单个数据,其函数原型如下所示:
void USART_TxData(USART_T* usart, uint16_t data);
该函数的形参描述,如下表所示:
形参        描述
lQLPJwQif-N4Yo5XzQISsLTZKMt1SFvUBHWovzNA4gA_530_87.png
表13.2.2.9 函数USART_TxData()形参描述

该函数的返回值描述,如下表所示:
997C8C53-3DCA-4ced-A4CB-E1D82D44D5A1.png
表13.2.2.10 函数USART_TxData()返回值描述

该函数的使用示例,如下所示:
#include "apm32e10x.h"
#include "apm32e10x_usart.h"

void example_fun(void)
{
    uint8_t data;
   
    /* 准备数据 */
    data = 0xFF;
    /* 通过USART1发送单个数据 */
    USART_TxData(USART1, (uint16_t)data);
}
使用USART接收数据的具体步骤如下所示:
①:判断USART接收缓冲区非空
②:读取USART接收缓冲区中的数据
在Geehy标准库中对应的函数如下:
①:获取USART状态标志
请见第13.2.2小节中获取USART状态标志的相关内容。
②:获取USART接收到的单个数据
该函数用于获取USART获取到的单个数据,其函数原型如下所示:
uint16_t USART_RxData(USART_T* usart);
该函数的形参描述,如下表所示:
lQLPJxmqCZdnuQ5BzQISsESnxDQwL0A4BHWoyWxAaAA_530_65.png
表13.2.2.11 函数USART_RxData()形参描述

该函数的返回值描述,如下表所示:
lQLPJxH9h3kq8o4qzQISsICKuVHKZ9YOBHWo1cHA3wA_530_42.png
表13.2.2.12 函数USART_RxData()返回值描述

该函数的使用示例,如下所示:
#include "apm32e10x.h"
#include "apm32e10x_usart.h"

void example_fun(void)
{
    uint8_t data;
   
    /* 接收USART1接收到的一个数据 */
    data = USART_RxData(USART1);
   
    /* Do something. */
}
13.2.3 串口通讯驱动
本实验的串口通信驱动主要是配置USART1并完成一些相关的初始化操作,并支持将printf函数重定向到USART1进行输出,同时向应用层提供了一个数据接收缓冲区和接收完成标志,通过这些,应用层就能够很方便地使用USART1进行数据传输了,本章实验中,串口通讯驱动的驱动代码包括usart.c和usart.h两个文件。
串口通讯驱动中,对GPIO、USART的相关宏定义,如下所示:
/* 引脚和串口定义 */
#define USART_TX_GPIO_PORT          GPIOA
#define USART_TX_GPIO_PIN           GPIO_PIN_9
#define USART_TX_GPIO_CLK_ENABLE()  do{ RCM_EnableAPB2PeriphClock(RCM_APB2_PERIPH_GPIOA); }while(0)

#define USART_RX_GPIO_PORT          GPIOA
#define USART_RX_GPIO_PIN           GPIO_PIN_10
#define USART_RX_GPIO_CLK_ENABLE()  do{ RCM_EnableAPB2PeriphClock(RCM_APB2_PERIPH_GPIOA); }while(0)

#define USART_UX                    USART1
#define USART_UX_IRQn               USART1_IRQn
#define USART_UX_IRQHandler         USART1_IRQHandler
#define USART_UX_CLK_ENABLE()       do{ RCM_EnableAPB2PeriphClock(RCM_APB2_PERIPH_USART1); }while(0)
串口通讯驱动中,USART1的初始化函数,如下所示:
/**
* @brief       初始化串口
* @param       baudrate: 通讯波特率
* @retval      无
*/
void usart_init(uint32_t baudrate)
{
    GPIO_Config_T gpio_init_struct = {0};
    USART_Config_T usart_init_struct = {0};
   
    /* 使能时钟 */
    USART_UX_CLK_ENABLE();
    USART_TX_GPIO_CLK_ENABLE();
    USART_RX_GPIO_CLK_ENABLE();
   
    /* 初始化串口发送引脚 */
    gpio_init_struct.pin = USART_TX_GPIO_PIN;
    gpio_init_struct.speed = GPIO_SPEED_50MHz;
    gpio_init_struct.mode = GPIO_MODE_AF_PP;
    GPIO_Config(USART_TX_GPIO_PORT, &gpio_init_struct);
   
    /* 初始化串口接收引脚 */
    gpio_init_struct.pin = USART_RX_GPIO_PIN;
    gpio_init_struct.speed = GPIO_SPEED_50MHz;
    gpio_init_struct.mode = GPIO_MODE_IN_PU;
    GPIO_Config(USART_RX_GPIO_PORT, &gpio_init_struct);
   
    /* 初始化串口 */
    usart_init_struct.baudRate = baudrate;                      /* 通讯波特率 */
    usart_init_struct.wordLength = USART_WORD_LEN_8B;           /* 数据位 */
    usart_init_struct.stopBits = USART_STOP_BIT_1;              /* 停止位 */
    usart_init_struct.parity = USART_PARITY_NONE;               /* 校验位 */
    usart_init_struct.mode = USART_MODE_TX_RX;                  /* 收发模式 */
    usart_init_struct.hardwareFlow = USART_HARDWARE_FLOW_NONE;  /* 无硬件流控 */
    USART_Config(USART_UX, &usart_init_struct);
    USART_Enable(USART_UX);
   
#if (USART_EN_RX != 0)
    USART_EnableInterrupt(USART_UX, USART_INT_RXBNE);
    USART_ClearStatusFlag(USART_UX, USART_FLAG_RXBNE);
    NVIC_EnableIRQRequest(USART_UX_IRQn, 0, 0);
#endif  
}
在串口通信的初始化函数中,在使能USART1收发引脚的GPIO端口时钟和USART1外设时钟后,配置了USART1发送引脚(USART_TX_GPIO_PORT)为复用推挽输出模式,并且配置了USART1接收引脚(USART_RX_GPIO_PORT)为上拉输入模式。在最后使能了USART1,同时也配置了USART1的接收缓冲区非空中断,这么一来,USART1的中断回调函数就会在USART1接收到数据的时候被调用。
串口通讯驱动中,USART1的中断回调函数,如下所示:
/**
* @brief       串口中断服务函数
* @param       无
* @retval      无
*/
void USART_UX_IRQHandler(void)
{
    if (USART_ReadIntFlag(USART_UX, USART_INT_RXBNE) == SET)
    {
#if (SYS_SUPPORT_OS != 0)
        OSIntEnter();
#endif
        
        g_rx_buffer[0] = USART_RxData(USART_UX);    /* 接收一字节数据 */
        
        if ((g_usart_rx_sta & 0x8000) == 0)         /* 接收未完成 */
        {
            if (g_usart_rx_sta & 0x4000)            /* 接收到了0x0D */
            {
                if (g_rx_buffer[0] != 0x0A)
                {
                    g_usart_rx_sta = 0;             /* 接收错误,重新开始接收 */
                }
                else
                {
                    g_usart_rx_sta |= 0x8000;       /* 接收完成 */
                }
            }
            else                                    /* 还未接收到0x0D */
            {
                if (g_rx_buffer[0] == 0x0D)
                {
                    g_usart_rx_sta |= 0x4000;
                }
                else
                {
                    g_usart_rx_buf[g_usart_rx_sta & 0x3FFF] = g_rx_buffer[0];
                    g_usart_rx_sta++;
                    if (g_usart_rx_sta > (USART_REC_LEN - 1))
                    {
                        g_usart_rx_sta = 0;         /* 接收错误,重新开始接收 */
                    }
                }
            }
        }
        
#if (SYS_SUPPORT_OS != 0)
        OSIntExit();
#endif
        USART_ClearIntFlag(USART_UX, USART_INT_RXBNE);
    }

}
在USART1的中断回调函数中主要用于读取USART1接收到的数据,并将其逐一存入接收的缓冲区,并在接收到“回车”和“换行”后标志数据接收完成。
13.2.4 实验应用代码
本实验的应用代码,如下所示:
int main(void)
{
    uint8_t len, i;
    uint16_t times = 0;
   
    NVIC_ConfigPriorityGroup(NVIC_PRIORITY_GROUP_4);  /* 设置中断优先级分组为组4 */
    sys_apm32_clock_init(15);                         /* 配置系统时钟 */
    delay_init(120);                                  /* 初始化延时功能 */
    usart_init(115200);                               /* 初始化串口 */
    led_init();                                       /* 初始化LED */
   
    while (1)
    {
        if (g_usart_rx_sta & 0x8000)                  /* 接收到数据 */
        {
            len = g_usart_rx_sta & 0x3fff;            /* 获取此次接收到数据的长度 */
            printf("\r\n您发送的信息为:\r\n");
            
            for (i = 0;i < len;i++)
            {
                USART_TxData(USART_UX, g_usart_rx_buf);
                while (USART_ReadStatusFlag(USART_UX, USART_FLAG_TXBE)==RESET);
            }
            
            printf("\r\n\r\n");                      /* 插入换行 */
            g_usart_rx_sta = 0;
        }
        else
        {
            times++;
            
            if (times % 1000 == 0)
            {
                printf("\r\n正点原子 APM32E103最小系统板 串口实验\r\n");
                printf("正点原子@ALIENTEK\r\n\r\n\r\n");
            }
            
            if (times % 200 == 0)
            {
                printf("请输入数据,以回车键结束\r\n");
            }
            
            if (times % 30 == 0)
            {
                LED0_TOGGLE();                     /* LED0翻转,提示系统正在运行 */
            }
            
            delay_ms(10);
        }
    }
}
本实验的实验代码很简单,在完成初始化后,就不断地通过串口通信驱动提供的数据接收完成标志判断数据是否接收完毕,若还未完成数据接收,则每间隔一段时间就使用printf函数通过USART1打印一段提示信息,若数据接收完毕,则将数据原原本本地使用printf函数通过USART1打印出去,实现数据的回显功能。
13.3 下载验证
在完成编译和烧录操作后,需要将开发板的USB UART接口与PC的USB接口通过具有数据传输功能的数据线进行连接,并保证13.1.3小节中指示跳线帽已正确安装。接着打开PC上的ATK-XCOM串口调试助手软件,选择好正确的COM端口和相关的配置后,就能看到串口调试助手上每间隔一段时间就打印一次“请输出数据,以回车键结束”,接下来就可以根据提示通过串口调试助手发送一段任意的数据(以回车换行结束),随后立马就能看到串口调试助手上显示发送出去的数据,这就是本实验实现的数据回显功能。
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-5-6 18:39

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表