搜索
bottom↓
回复: 165

我也show一下我的自制133MHz数字存储示波器及进度汇报

[复制链接]

出0入0汤圆

发表于 2006-11-2 01:00:20 | 显示全部楼层 |阅读模式
先show出我的板子:



阿莫论坛20周年了!感谢大家的支持与爱护!!

月入3000的是反美的。收入3万是亲美的。收入30万是移民美国的。收入300万是取得绿卡后回国,教唆那些3000来反美的!

出0入0汤圆

 楼主| 发表于 2006-11-2 01:01:14 | 显示全部楼层
工作原理:



1、利用LTC6905(振荡器)和EPM240(MAX II CPLD)产生一对66MHz、相位相反的时钟,用此时钟驱动两片66MHz、11-bit的ADC交错进行采样,达到133MHz的采样率;



2、同时,EPM240对时钟信号进行计数,产生两组地址信号,并以一对采样时钟作为写信号,把两个ADC的采样值交替写入到两片IS61LV25616-10中;



3、数据由ARM读出。

出0入0汤圆

 楼主| 发表于 2006-11-2 01:04:55 | 显示全部楼层
目前已经能进行133MHz的采样并读出数据。





约5.5MHz正弦波的采样和频谱分析(数据在matlab中绘图的):



出0入0汤圆

 楼主| 发表于 2006-11-2 01:05:52 | 显示全部楼层
约1.5MHz方波的采样和频谱分析:



出0入0汤圆

 楼主| 发表于 2006-11-2 01:06:44 | 显示全部楼层
下一步着手进行上位机程序的编写。

出0入0汤圆

发表于 2006-11-2 01:52:44 | 显示全部楼层
qwernet 小俊 真的厉害,要好好向你学习

出0入0汤圆

发表于 2006-11-2 05:39:55 | 显示全部楼层
请问该ARM的CPU,能否驱动480 X 272 X 24bit 的LCD,再加上以态网接口?

出0入0汤圆

发表于 2006-11-2 07:24:04 | 显示全部楼层
小俊,历害。

出0入0汤圆

发表于 2006-11-2 07:48:17 | 显示全部楼层
真的很期待这类产品。

出0入0汤圆

发表于 2006-11-2 08:21:13 | 显示全部楼层
太强了!!!

出0入0汤圆

发表于 2006-11-2 08:52:11 | 显示全部楼层
强悍阿!准备卖多少?

支持一下

出0入0汤圆

发表于 2006-11-2 09:22:17 | 显示全部楼层
大侠之作,必属精品!

出0入0汤圆

发表于 2006-11-2 09:54:23 | 显示全部楼层
哈...只SHOW下还是有下文呀

出0入0汤圆

发表于 2006-11-2 09:59:18 | 显示全部楼层
六体投地

出0入0汤圆

发表于 2006-11-2 10:05:45 | 显示全部楼层
强劲....

出0入0汤圆

发表于 2006-11-2 10:43:36 | 显示全部楼层
回cock:



这个ARM是NXP的LPC2214,除了Flash大、引脚多、带总线以外,没有其它优点了,是我去年拿到的样片,放着不用可惜,所以就用在上面了。



如果想驱动480×272×24bit的LCD(PSP液晶?),我推荐采用ADI的Blackfin系列DSP,部分型号还带以太网或USB接口(可惜这部分是BGA封装的)。不过Blackfin一般只有16bit宽度来驱动LCD,如果要用到24bit,估计要CPLD帮帮忙了。

出0入0汤圆

 楼主| 发表于 2006-11-2 10:46:06 | 显示全部楼层
不好意思,我在楼上的回帖不小心用了我同学的自动登陆帐号。其实楼上的帖是我回的。



出0入0汤圆

发表于 2006-11-2 10:57:59 | 显示全部楼层
什么时候出个套件,我买套玩一下。

出0入0汤圆

发表于 2006-11-2 12:01:50 | 显示全部楼层
片子都不便宜啊

出0入0汤圆

发表于 2006-11-2 12:07:31 | 显示全部楼层
精品。



本来我也打算做个数字示波器的,想用液晶实时显示,结果夭折。



看到了你的作品,非常敬佩。



关注。。。。

出0入0汤圆

发表于 2006-11-2 12:07:41 | 显示全部楼层
good!

出0入0汤圆

发表于 2006-11-2 13:38:44 | 显示全部楼层
真厉害.顶了

方便的话,

楼主能否把用到的AD型号价格透漏一下?

谢谢!

出0入0汤圆

 楼主| 发表于 2006-11-2 13:58:46 | 显示全部楼层
AD型号:1年前从NSC申请的ADC11L066,现在已经找不到了。不过可以用ADC12L066,12位的。

出0入0汤圆

发表于 2006-11-2 16:25:05 | 显示全部楼层
好东西,支持一下

出0入0汤圆

发表于 2006-11-2 16:28:53 | 显示全部楼层
厉害。学习中......

出0入0汤圆

发表于 2006-11-2 17:30:15 | 显示全部楼层
非常佩服!

出0入0汤圆

发表于 2006-11-2 20:12:50 | 显示全部楼层
再来佩服一下~~

出0入0汤圆

发表于 2006-11-2 23:21:31 | 显示全部楼层
小俊出的东西总是比较能鼓动人心的!



但是,我不明白一个简单的原理:以133M采集,可是读,以及传输的速度远远不到133M,也就是‘生产’的速度远大于‘消费’的速度,那么是如何避免后面的数据覆盖掉前面的呢?(此时RAM的缓冲也没用)

出0入0汤圆

发表于 2006-11-3 00:29:38 | 显示全部楼层
汗。。。。。我这个小虾米也想问几句,望小俊大侠不要见怪:



1。如何在采样速度很快的情况下用一般的RAM实现数据的实时保存??61LV25616-10应该是16bit存储器,10ns读写速度吧??你的A/D每个66MHz,是否是每个存储器对应一块A/D,然后通过CPLD复合成一个完整的采样周期??



2。你那个A/D数据在61LV25616-10里面是否可实现FIFO,我认为这样才能实现后面的数据冲掉前面的数据而是波形不乱,并且使数据传输速度比较快,我看到TEK示波器似乎是这样做的。



3。如何在液晶上实时显示采样的波形??液晶的响应速度远不及A/D和RAM的,我一直没明白如何实现这一点,特别是波形剧烈变化的时候如何实现这一点??还有,如何使液晶显示与波形采集的数据同步??



4。最想知道的就是这个东西的价格能卖多少钱,或者说我多少钱可以购买得到。

出0入0汤圆

发表于 2006-11-3 08:17:02 | 显示全部楼层
我的看法:对于这种高速采样的数字存储示波器,当信号速率很高时是不可能实时显示的。

出0入0汤圆

发表于 2006-11-3 08:22:05 | 显示全部楼层
一般出售价格大概在多少左右,我真想买一套。

出0入0汤圆

发表于 2006-11-3 08:38:30 | 显示全部楼层
好像,似乎,小俊的东西一直都是不卖的

出0入0汤圆

发表于 2006-11-3 12:12:21 | 显示全部楼层
我也在做,呵呵。不过用fpga速度快。

出0入0汤圆

 楼主| 发表于 2006-11-3 12:23:51 | 显示全部楼层
回JAMESKING:



1、是的,每个ADC对应一个IS61LV25616,用CPLD生成相位相反的时钟和两套地址,交替采样和写入。



2、没有实现FIFO。



3、我不是用液晶显示。我是通过USB传到上位机在PC上显示的。当然USB速度远不够采样速度快,所以只能做伪实时。但是如果想记录瞬间的波形变化,可以把RAM填满后把所有数据传到PC上慢慢看。



4、如果armok有意做套件我可以提供支持,不过芯片的确比较难找(尤其是高速的ADC)。

出0入0汤圆

发表于 2006-11-3 12:34:31 | 显示全部楼层
小俊太厉害了,唉,我等很难达到如此水平啊……

我还是买个现成的算了

出0入0汤圆

发表于 2006-11-3 13:47:26 | 显示全部楼层
AD部分可以模块化么?

比如留16bit 的数据总线还有时钟信号

比如我找到一片12位的AD,就接到高12位总线上

找到10位的就接到高10位

低位就忽略



采样率也是,CPLD能出66M的速度,那出20M,10M,肯定也是可以得



这样有条件的人弄得到好片子,就做好的

弄不到,就做差点的~



没做过高速的混合设计,说错了不要笑话我
-----此内容被bg6agb于2006-11-03,13:48:49编辑过

出0入0汤圆

发表于 2006-11-3 14:03:26 | 显示全部楼层
建议armok做成套件,这样大家都可以玩,不过上位软件就难喽,如果小俊能提供上位软件,那就好玩了...

出0入0汤圆

 楼主| 发表于 2006-11-3 15:04:24 | 显示全部楼层
楼上别急。上位机还没开始写呢。



小弟明年毕业,现在忙找工作。这时势,工作难找啊!

出0入0汤圆

发表于 2006-11-3 16:37:20 | 显示全部楼层
以你现在的水平,何愁找不到到好工作啊~~

出0入0汤圆

发表于 2006-11-3 16:52:41 | 显示全部楼层
找不到到我们公司来,哈哈,再怎么说上海还是有吸引力的呀

出0入0汤圆

 楼主| 发表于 2006-11-3 19:40:10 | 显示全部楼层
感觉现在的公司招研发类的毕业生都比较随便,他们认为成绩好的毕业生,只要培训一下都可以胜任职位。



而我专业不对口、成绩太一般、没发表过论文、没参加过竞赛,找工作有些麻烦了。

出0入0汤圆

 楼主| 发表于 2006-11-3 19:40:32 | 显示全部楼层
回bg6agb:



AD部分模块化的想法很好而且可行,只要是有类似时序的差分输入的pipeline型高速ADC都可以用上。不过模块的接线会比较多,高速下要注意信号完整性问题。至于要可调的时钟速率,那更不是问题。

出0入0汤圆

发表于 2006-11-3 19:57:28 | 显示全部楼层
模块化的问题应该也还好



比如按照网站上那种贴片转直插的板子的方式,规定一个44脚的转接板的接口定义

44个脚哪些是数据,哪些是时钟,哪个是模拟量输入.



然后按照这个标准,选择2到3种不同档次的ADC芯片,高速的低速的都有.分别做转接板.

必须限定有限的几种ADC,不然就需要做太多的板子了.

这个速度下如果画板的时候稍加注意,应该都能保证

出0入0汤圆

发表于 2006-11-4 08:26:53 | 显示全部楼层
高速下一条线的走线都要严格考虑,否则时序会被破坏.需要考虑的问题太多了,建议是好,可实施起来效果不见得佳.



高速下,线应该短,引线的电抗和分布感抗尽量小,差分线要对称,弱信号要注意屏蔽.......



总之玩高速那就要先做好准备

出0入0汤圆

发表于 2006-11-4 09:16:58 | 显示全部楼层
凡是想用转接板的,基本就是找不到高速的芯片,又想玩玩数字采集,只好折衷一下了



例如有很多开发板在核心元件的贴片封装的四周有留那种可以焊管座的焊盘的位置,比如TQFP80外面留了一圈装PLCC84插座的焊盘



LZ用的封装是LQFP32,可以在外围留一个PLCC44插座那样的一个位置,用来转接低速的ADC

如果用原设计那样高速的,就不需要用转接板了



再说一下,没有实际做过高速的板子,以上言论仅供大牛参考
-----此内容被bg6agb于2006-11-04,09:24:18编辑过

出0入0汤圆

 楼主| 发表于 2006-11-4 13:23:25 | 显示全部楼层
高速的问题,注意一下还是可以的。何况这个设计的频率实际只有66MHz(通过交替采样才达到133MSPS),也不算什么高速了。

出0入0汤圆

发表于 2006-11-4 19:50:01 | 显示全部楼层
以此类推,商品化的1G DSO会不会是用10个100MHz轮流采样实现的?

有这样的机器并有机会拆开的同仁,说说你见到的情况吧!Please!
-----此内容被cock于2006-11-04,19:53:05编辑过

出0入0汤圆

发表于 2006-11-4 20:34:09 | 显示全部楼层
价格,关键是价格哦~~~楼主估计价格能是多少呢?

出0入0汤圆

 楼主| 发表于 2006-11-4 20:55:41 | 显示全部楼层
我知道有几百MSPS的ADC,配上高速FIFO和SDRAM,做至少100-200MSPS是没有问题的。不过高速ADC属于高科技产品,是限制出口的,无法得到样片。



如果想在允许的情况下追求极速,建议可以用Cyclone系列的FPGA。利用它内置的PLL很容易能产生4个相位相差90度的时钟。

出0入148汤圆

发表于 2006-11-4 21:25:51 | 显示全部楼层
请教 qwernet 小俊:

   

    非电子专业要如何学电子呢?小弟现在大二,专业与电子只有一点点联系(可能以后会使用到一些探测仪器),自学了最基础的模电与数电,C的基础,还有一点C++builder,AVR学了基本的应用(现在尝试学外围电路),还有一腔的热情与无比的兴趣,可是总是觉得没有信心,没有方向,希望师兄能不吝赐教。谢谢。

出0入0汤圆

发表于 2006-11-4 23:46:18 | 显示全部楼层
【40楼】 qwernet 小俊



拿你做过的这些东西去面试,效果比什么论文、竞赛都有用多了。



大家都清楚大部分论文是糊弄人的,而且,如果研究生都要发表论文才能拿学位,那么,起码现在的‘核心期刊’的数量要翻几倍才够。



我有校友是学机械的,现在在招商银行做软件开发,是比较核心的那种(招行的网上银行系统一向不错)。



不过要注意表现一下自己还是有‘团队精神’的,否则你一个人全部做了,其他人干什么?



那些实干型的企业会很喜欢你,比如zlg,hw,通常是外企才忽略个人能力的。

出0入0汤圆

 楼主| 发表于 2006-11-5 09:43:55 | 显示全部楼层
to mig29:



问题是别人笔试都不让你去啊。



像HW、威盛、炬力这些,连笔试通知都不发给我。本来今天想去HW霸王笔的,结果等不到车,迟到了。



相反,有两家外企给我发了笔试通知,分别是nVIDIA和CISCO。CISCO昨天刚考没知道成绩。nVIDIA已经杀入面试了,面试的时候考官还很疑惑地问,这些东西真的是我一个人做的吗?

出0入0汤圆

 楼主| 发表于 2006-11-5 09:56:27 | 显示全部楼层
to yplin27:



我觉得自学的话还是要多实践和多请教别人。书本的东西没必要刻意去记,实践多了自然都会用上,就会记住的。另外要锻炼查资料的能力,包括要善于去图书馆或baidu、google上查找自己想要的资料。还有就是英语阅读能力要比较好,至少要能看懂Datasheet和相关的应用笔记、参考设计。最后,如果有好的编程功底那就比较完美了。

出0入148汤圆

发表于 2006-11-5 10:32:59 | 显示全部楼层
谢谢 qwernet 小俊

出0入0汤圆

发表于 2006-11-5 14:23:43 | 显示全部楼层
后生可畏   

当年本科毕业时,我会搞点8031,已被视为奇才了,哈哈哈

出0入0汤圆

发表于 2006-11-6 15:47:42 | 显示全部楼层
高,实在是高!

出0入0汤圆

发表于 2006-11-6 15:52:11 | 显示全部楼层
不错,一直想要一个这样子的东西......

出0入0汤圆

发表于 2006-11-6 16:14:23 | 显示全部楼层
我毕业的时候会搞点PIC,用汇编的,哈哈,答辩的时候老师都傻了...因为我不是学电子的,老师也不是电子的....

出0入0汤圆

发表于 2006-11-6 17:02:44 | 显示全部楼层
我也想学电子,苦于无从入手。



看了各位大哥们又是cpld,又是fpga ,甚是佩服,不知道那天,我才能达到这个水平!

出0入0汤圆

发表于 2006-11-6 17:02:56 | 显示全部楼层
我也想学电子,苦于无从入手。



看了各位大哥们又是cpld,又是fpga ,甚是佩服,不知道那天,我才能达到这个水平!

出0入0汤圆

发表于 2006-11-6 17:51:26 | 显示全部楼层
厉害,现在刚毕业就能达到这种境界!你觉得你现在关键是缺的不是技术,关键是如何推销自己,还有就是要主动出击,找工作千万别被动。事是死的,人是活的,关键看你如何处理。还是非常佩服小俊的才能!!

出0入0汤圆

发表于 2006-11-6 17:55:19 | 显示全部楼层
向小俊学习,同时祝小俊早日找到心仪的工作!!是金子在哪都会发光
头像被屏蔽

出0入0汤圆

发表于 2006-11-6 18:29:38 | 显示全部楼层
是的,小俊的确是金子:



1。像小俊的水平与学习新知识的速度,在我们论坛是数一数二的。



2。小俊谦虚、踏实,是我们的学习榜样。



3。我与小俊在电话、贴子里里沟通过很多次,我们算是老朋友了。感觉小俊的人品很不错。



特写了这段表扬文字与推荐,我们以网站的名言向用人单位推荐小俊。这是我们的第一次,愿小俊早日找到理想的工作。也希望喜欢我们网站的用人单位能考虑一下小俊。谢谢。

出0入0汤圆

发表于 2006-11-6 19:30:38 | 显示全部楼层
哦.差不多,我也是刚毕业的本科生,不过有材料,不怕找不到工作.有没有兴趣到成都来发展嘛. 来交流下,MY QQ:182304741

出0入0汤圆

发表于 2006-11-6 22:38:41 | 显示全部楼层
这个板子好熟悉哦…………,呵呵,终于出成果了,很不错!

出0入0汤圆

发表于 2006-11-6 23:07:36 | 显示全部楼层
小俊的劣势在于“而我专业不对口、成绩太一般、没发表过论文、没参加过竞赛”,



大公司的话,主要是因为前两条会被第一道坎:不懂技术的人事将简历拦截。这些人的惯性思维是:虽然厉害的不一定都出自名校科班,但名校科班的在起点相同的情况下,变厉害的速度和可能性要大一些。所以通常宁可错杀。



几点建议:

要设法接触到用人单位的搞技术小头目,这个可以从:展览会、交易会、校园招聘会、该公司的论坛等等设法突破。因为招人的跟应聘的一样,都很头疼,毕竟市场上还是水货要多一些。



推销自己也是很大的一个事情。

出0入0汤圆

 楼主| 发表于 2006-11-7 12:16:18 | 显示全部楼层
谢谢老朋友armok的夸奖!



其实我的情况没有想象中那么差,至少投的简历还有两个有回音,比不少毕业生的情况好了。况且时间还早,实在不行下个月就去校园招聘会现场“投海”。另外去霸王笔也是我下一步的策略之一。也谢谢笨笨兔的建议。



最后,感谢lbing2002兄免费为我这个项目的PCB打样!

出0入0汤圆

发表于 2006-11-7 12:56:43 | 显示全部楼层
对于数字示波器来说,信号是不可能实时显示的。

接到触发信号,控制逻辑会存储几千个点。然后将这几千个点处理显示出来。然后再触发。由此循环往复。

出0入0汤圆

发表于 2006-11-7 14:21:31 | 显示全部楼层
飞利浦的数字+模拟的示波器,型号:PM3055,我觉得比纯模拟或者纯数字的好。只是只能到50M

-----此内容被ilymy于2006-11-07,14:27:05编辑过


-----此内容被ilymy于2006-11-07,14:28:15编辑过

出0入0汤圆

发表于 2006-11-8 10:23:50 | 显示全部楼层
真的是很厉害.佩服佩服

出0入0汤圆

发表于 2006-11-8 11:03:08 | 显示全部楼层
金子啊,月薪给的低于20K都不好意思接LZ的简历。



太牛了,看来我将来要注定露宿街头,当个饿死鬼了。



后生可畏!

出0入0汤圆

 楼主| 发表于 2006-11-8 14:02:42 | 显示全部楼层
不能太贪心啊,哪个公司会给毕业生20K的啊?这个目标还是等工作后再争取吧。

出0入0汤圆

发表于 2006-11-8 17:16:25 | 显示全部楼层
示波器肯定不能做到实时显示,否则你会什么也看不到了。

同67楼所说,关键是能不能做到实时触发,也就是当一个触发点产生时,及时地判别出来,并保存相关的信息。



AD没有必要用到很高位,8位+调理电路足够了



看了楼住的作品真是心痒啊,当年申请了AD和Linear的两个高速AD样片,都没下来,唉~
-----此内容被mored于2006-11-08,17:23:43编辑过

出0入0汤圆

发表于 2006-11-8 17:36:11 | 显示全部楼层
是呀!什么时候该采集,什么是不采集是个难点,如果将采集到的数据送往上位机处理到不是个难点。

出0入0汤圆

发表于 2006-11-9 09:04:36 | 显示全部楼层
预触发是个难点,一般电路好象是一直采样,触发条件出现后再保存后面一半RAM的数据点.这样触发点刚好在RAM的中间,确保能看见前后的数据.

成品示波器都有触发方式,触位置(将触发点对应在FIFO的前后大概位置).



模拟信号要检查出条件触发有点难度,因为信号是模拟量,并且时间有可能很短,上升,下降时间不好捕捉.



数字的那有实时的??????

出0入0汤圆

发表于 2006-11-9 09:56:38 | 显示全部楼层
如果,人眼采样达到133M,就可以实时显示了...

出0入0汤圆

发表于 2006-11-9 11:36:23 | 显示全部楼层
即使是人眼能到133MHz,显示器都到不了啦

出0入0汤圆

发表于 2006-11-9 12:38:41 | 显示全部楼层
小俊:

讲讲你的触发电路或软件的设计原理可以吗?

出0入0汤圆

发表于 2007-2-8 16:34:39 | 显示全部楼层
小俊很有前途,不要着急,好工作肯定会有的。

出0入0汤圆

发表于 2007-2-8 19:31:54 | 显示全部楼层
佩服小俊,向你学习!

出0入0汤圆

发表于 2007-2-8 20:15:16 | 显示全部楼层
小俊,能不能介绍一下你自己是如何学习的?

出0入0汤圆

发表于 2007-2-8 20:48:11 | 显示全部楼层
如果人眼到133M的话,那么设备就没任何意义了。试想想,灯炮以1Hz的频率来闪烁,每个人都能看得清。

出0入0汤圆

发表于 2007-2-8 22:36:27 | 显示全部楼层
大家都没有看到! 真个东西真正的意义! 他不在于成功与否!

即使做不出来,对我们也是有很大的帮助的!



一个不是电子专业的学生,在业余时间完成了 这个很多专业的工程师

都做不到的设计! 这才是重要的!



朋友们加油吧! 多多学习才是真正的!

还有那些在校的大学生,学些东西吧!



别老没事,就想着制造人类!

出0入0汤圆

发表于 2007-2-8 22:49:37 | 显示全部楼层
做示波器最难的就是模拟前端,如何才能既支持10MV/DIV的量程又支持50V/DIV的量程?我想了好久了。

可以用低精度的adc,如果模拟前端没有问题的话我觉得8位足够了,10位其实挺难受的。



我也一直想做示波器,后来发现上面的第一个问题实在没有好地解决方案,于是就放弃了,本来是想用fpga做的,不知搂主有什么好地解决方案?

出0入0汤圆

发表于 2007-2-9 11:53:16 | 显示全部楼层
小俊出品,必属精品!



>相反,有两家外企给我发了笔试通知,分别是nVIDIA和CISCO。CISCO昨天刚考没知道成绩。nVIDIA已经杀入面试了,面试的时候考官还很疑惑地问,这些东西真的是我一个人做的吗?

这个站在招聘方角度,也是正常的。毕竟在专业毕业生里找专业人才,找到的概率比在所有学科大学毕业生里找要高很多。人家不过是要找个开发人员,没有必要舍近求远。

对于个人来说,这个是很郁闷的。

不过小俊已经给人震惊了,希望很大,前途一片光明啊

出0入0汤圆

发表于 2007-2-9 18:18:58 | 显示全部楼层
钦佩小俊,以小俊为目标,呵呵,

可惜年龄偏大了……

出0入0汤圆

发表于 2007-2-9 23:00:46 | 显示全部楼层
一个人的能力(除非是天才)要靠时间来体现的。



小俊,祝你能慢慢走好!



当你能给你的产品赋于一个灵魂才是极至。

出0入0汤圆

发表于 2007-2-9 23:16:23 | 显示全部楼层
都是高技术含量的东西啊.这个我以前也做过.但是只用到了CPLD.



实际测量有些不理想.



看到版主的作品我很是崇拜.



看来我也要好好弄一弄我的那块了.

出0入0汤圆

发表于 2007-9-4 23:59:47 | 显示全部楼层
是否可以出售?有意向联系jimsboy@sohu.com。谢谢!

出0入0汤圆

发表于 2007-9-5 00:46:20 | 显示全部楼层
牛大b啊!!!!佩服佩服,我只有做做小玩意什么的。挺喜欢制造人类的,4进制的东西哦。

出0入0汤圆

发表于 2007-9-7 20:37:36 | 显示全部楼层
比较牛!但作为产品我想在600以上我是不会买的,没什么实际价值

出0入0汤圆

发表于 2007-9-8 20:51:29 | 显示全部楼层
小俊太利害了!以你为榜样!

出0入0汤圆

发表于 2007-9-9 23:05:59 | 显示全部楼层
很希望能看到具体内容啊!

出0入21汤圆

发表于 2007-11-25 00:44:30 | 显示全部楼层
学习中。

出0入0汤圆

发表于 2007-11-25 11:05:50 | 显示全部楼层
还是做个矢量网络分析仪比较有价值.也实用点.

出0入0汤圆

发表于 2007-11-25 11:37:54 | 显示全部楼层
LZ什么时候开源呀!让大家亮亮眼睛!期待中……
读大学的时候用高速AD做了一个波形实时显示的板子,前级电路没有处理好,波形老是衰减!上位机是LabView做的。

出0入0汤圆

发表于 2007-11-26 12:53:19 | 显示全部楼层
不错啊

出0入0汤圆

发表于 2007-11-26 13:34:00 | 显示全部楼层
回94楼:
已经有人DIY出来了!
http://www.hellocq.net/forum/showthread-t-145460.强烈提议DIY大侠组织矢量分析仪VNA套件.html

出0入0汤圆

发表于 2007-11-29 21:18:16 | 显示全部楼层
【97楼】 h572
我关注这个已经快一年了.该申请的芯片都申请到了.到现在还没有做出来呢.原来打算买个二手的VNA .问了一个要7-10W左右.吓得我不敢出声了.

出0入0汤圆

发表于 2007-11-29 22:26:40 | 显示全部楼层
商品网分里面全部是模块化的,比如振荡,放大,模数,混频,检波.......用屏蔽铜管直接SMA连接的.

高档设备的振荡器是放在70度恒温槽里面的,尽可能减小热漂移.

自行设计的可能性几乎为零,当然到活到活玩玩也未尝不可
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-4-27 12:01

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表