搜索
bottom↓
回复: 4

用vhdl如何实现按键消抖 和 如何用vhdl写pwm

[复制链接]

出0入0汤圆

发表于 2007-12-31 17:02:24 | 显示全部楼层 |阅读模式
用vhdl如何实现按键消抖 和 如何用vhdl写pwm?

出0入0汤圆

发表于 2008-1-1 12:50:52 | 显示全部楼层
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity anti_15ms_glitch is
                port(
                        rst                                                                        : in                std_logic;
                        clk                                                                        : in                std_logic;
                        flag_1ms                                                        : in                std_logic;
                        in_signal                                                        : in                std_logic;
                        out_signal                                                        : out                std_logic
                        );
end anti_15ms_glitch;

architecture behavioral of anti_15ms_glitch is

        type state is (idle,wait_high,signal_out,wait_low);
        signal prt_state                                                         :                         state;
       
        signal counter                                                                :                        std_logic_vector(3 downto 0);
       
begin

        process(rst,clk) -- generate out_signal signal
        begin
                if rst = '0' then
                        out_signal <= '0';
                        counter <= "0000";
                        prt_state <= idle;
                elsif clk'event and clk = '1' then
                        case prt_state is
                                when idle =>
                                        out_signal <= '0';
                                        counter <= "0000";
                                        if in_signal = '1' then
                                                prt_state <= wait_high;
                                        end if;
                                when wait_high =>
                                        if counter = "1111" then --delay 15ms
                                                if in_signal = '1' then
                                                        prt_state <= signal_out;
                                                else
                                                        prt_state <= idle;
                                                end if;
                                        else
                                                if flag_1ms = '1' then
                                                        counter <= counter + 1;
                                                end if;
                                        end if;
                                when signal_out =>
                                        out_signal <= '1';
                                        counter <= "0000";
                                        if in_signal = '0' then
                                                prt_state <= wait_low;
                                        end if;
                                when wait_low =>
                                        if counter = "1111" then --delay 15ms
                                                if in_signal = '1' then
                                                        prt_state <= signal_out;
                                                else
                                                        prt_state <= idle;
                                                end if;
                                        else
                                                if flag_1ms = '1' then
                                                        counter <= counter + 1;
                                                end if;
                                        end if;
                                when others =>
                                        prt_state <= idle;
                        end case;
                end if;
        end process;

end behavioral;

出0入0汤圆

发表于 2008-1-4 08:44:20 | 显示全部楼层
上面的代码可以玩的转吗?

出0入0汤圆

发表于 2009-11-15 12:46:42 | 显示全部楼层

出0入0汤圆

发表于 2009-11-19 10:44:07 | 显示全部楼层
学习下
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-5-3 16:44

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表