搜索
bottom↓
回复: 3

请问下面的程序产生波形有什么问题吗?

[复制链接]

出0入0汤圆

发表于 2009-7-15 12:02:04 | 显示全部楼层 |阅读模式
entity CLKDIV is
------------------------------------------
generic ( n: integer := 60 );

port (
                CLK_IN :     in  std_logic;
      CLK_RST:     in  std_logic;       
      CLK_CS :     in  std_logic;               
                CLK_OUT:     out std_logic
                );
------------------------------------------
end CLKDIV;

architecture Behavioral of CLKDIV is
------------------------------------------
signal   CLK_CLK:    std_logic;
------------------------------------------
begin
------------------------------------------
div:  process ( CLK_RST,CLK_IN,CLK_CS )
      variable CLK_CNT: integer range 0 to 511 := n;
      begin
      if ( CLK_RST = '0' ) then
         CLK_CLK <= '1';
         CLK_CNT :=  0;       
      elsif ( CLK_IN' event and CLK_IN = '1' and CLK_CS = '0' ) then
          CLK_CNT := CLK_CNT + 1;
          if ( CLK_CNT = n )        then
             CLK_CLK <= not CLK_CLK;
             CLK_CNT := 0;                               
           end if;                                  
      end if;
      end process div;
         
CLK_OUT <= CLK_CLK;       
------------------------------------------
end Behavioral;

请问上面的程序产生波形有问题吗?

阿莫论坛20周年了!感谢大家的支持与爱护!!

一只鸟敢站在脆弱的枝条上歇脚,它依仗的不是枝条不会断,而是自己有翅膀,会飞。

出0入0汤圆

 楼主| 发表于 2009-7-15 12:05:19 | 显示全部楼层
entity CLKDIV is
------------------------------------------
generic ( n: integer := 60 );

port (
                CLK_IN :     in  std_logic;
      CLK_RST:     in  std_logic;       
      CLK_CS :     in  std_logic;               
                CLK_OUT:     out std_logic
                );
------------------------------------------
end CLKDIV;

architecture Behavioral of CLKDIV is
------------------------------------------
signal   CLK_CLK:    std_logic;
------------------------------------------
begin
------------------------------------------
div:  process ( CLK_RST,CLK_IN,CLK_CS )
      variable CLK_CNT: integer range 0 to 511 := n;
      begin
      if ( CLK_RST = '0' ) then
        CLK_CLK <= '0';
        CLK_CNT :=  0;       
      elsif ( CLK_IN' event and CLK_IN = '1' and CLK_CS = '0' ) then
           CLK_CLK <= '0';         
           CLK_CNT := CLK_CNT + 1;
         if ( CLK_CNT = n )        then
             CLK_CLK <= '1';
             CLK_CNT := 0;                               
           end if;                                  
      end if;
      end process div;
         
CLK_OUT <= CLK_CLK;       
------------------------------------------
end Behavioral;

出0入0汤圆

 楼主| 发表于 2009-7-15 12:06:08 | 显示全部楼层
请问上面2种产生时钟的方法,那个好点!好的地方,差的地方分别在哪里?

出0入0汤圆

 楼主| 发表于 2009-7-15 15:06:36 | 显示全部楼层
还有个问题,我想吧这个分频电路用
UART_SampClk: CLKDIV
    generic map( 60 )
         port map (
         CLK_IN => UART_CLKIN,
         CLK_CS => UART_CS,
         CLK_RST => UART_RST,
         CLK_OUT => UartSampClk
         );

我想通过这个方式来分频,不知道这种引用有问题吗》希望指教!
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-6-12 04:35

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表