搜索
bottom↓
楼主: multijtager

multijtager(基于FPGA的多功能JTAG调试/下载接口)开源项目倡议与讨论

[复制链接]

出0入0汤圆

发表于 2010-6-6 19:57:02 | 显示全部楼层
【790楼】 h2feo4 无机酸

ft 加上十几条地址线之后就几乎没希望用QFP封装的了。。。

Flash估计除了3.3V的就是1.8V的了……
SDRAM估计是不好找2.5V的…… 用DDR的话,控制器得占去很大的逻辑资源…… 而且布线也难了很多。

是否可以把给IO板的那个IO bank单独供电?根据IO板来切换。
不过,不接IO板的时候也是应该供电的,这部分电路还得上个PMOS。

出0入0汤圆

发表于 2010-6-6 20:44:51 | 显示全部楼层
回复【791楼】minux 啊啊?
-----------------------------------------------------------------------

按目前的情况,如果我们想把功能做全,还要QFP封装
那只能只装一块SDRAM了

IO部分分开供电是不太可能的
因为我们要用差分对,而一个BANK里面不会全是差分对,还会有一些单独的引脚和input-only脚
如果IO单独供电,这些脚就很难利用起来

如果不用差分对,脚倒是可以都用上,但更改电压就没意义了

DDR控制器占逻辑很大么,前一段时间还见到在3S50AN上挂DDR的呢
布线复杂度应该问题不大

FT256封装从IO数来说很适合我们的应用,但是6mil线肯定跑不开

出0入0汤圆

发表于 2010-6-6 22:57:25 | 显示全部楼层
【792楼】 h2feo4 无机酸

差分的话,还得决定是那种标准,是LVDS还是LVPECL?如果要兼容差分,还得考虑阻抗匹配的事情(尤其是我们计划
用的接插件是否能做到阻抗匹配是个问题,我的建议是,如果是差分IO,那没必要接IO板,直接把IO做在FPGA板上)……
Cyclone 3和Spartan-3E都不支持Vcco=3.3V的LVDS/LVPECL... Spartan-3A(N)系列都支持了。。。

先看目的吧,我们要接什么样的电平标准,再考虑这个IO的事情。

至于DDR控制器的资源消耗,怎么也得1k~2k LE(4LUT+DFF)吧,取决于性能高低(当然,如果是用作逻辑分析仪和Trace等
功能的话,我们读或者写都是非常连续的,所以自己优化一个高性能的估计可以占用资源更少点)。

出0入0汤圆

发表于 2010-6-7 10:09:52 | 显示全部楼层
回复【793楼】minux 啊啊?
-----------------------------------------------------------------------

LVDS 我的设想是用于高速逻辑分析仪的有源探头
布线阻抗应该没问题,接插件不好说,要算一下,不过估计问题不大

如果我们资源很受限制的话干脆这样吧
用3S500E,PQ208,两片SDRAM共用一些线
这样应该勉强能装下,不过也很紧张

FT256倒是能满足我们的全部需求,而且我手里有库存现货
就是工艺要求高一个档次

出0入0汤圆

发表于 2010-6-7 10:31:04 | 显示全部楼层
还有我们之前那个“内存条”设想
不过一直没有找到合适的连接器

出0入0汤圆

发表于 2010-6-7 14:56:39 | 显示全部楼层
好消息
仔细观察 S3E 和 S3A 的封装图
发现 XC3S200A/400A 的 FT256 封装也许比较适合我们(如果逻辑容量够的话)
IO很多,有195个
VCCAUX支持3.3V,电源布线非常方便
引脚排列也很合理,如果我们牺牲几个 input-only PIN,这个封装完全是可以用 6mil 线跑开的

(原文件名:20100607A.PNG)

3S500E 的 pinout 不太合理,恐怕跑不开

正在搜索Altera的封装图
为什么只找到表格呢,看得头都大了,就没有一个直观的 pinout 图么

出0入0汤圆

发表于 2010-6-7 15:02:48 | 显示全部楼层
既然FPGA板卡可以灵活选择,那么没有必要一个通吃,这也是讨论过的结论。

从入门容易,方便折腾来说,我倾向于首先生成一款较为低端的FPGA板卡。
典型为:力争双面板卡;QFP的FPGA;1片SDRAM(有地方再说两片);如果LVDS不容易实现,则在此板卡中放弃。

使用较低的成本,验证整个平台和环境没有什么问题。

如果验证结果是没问题,那么在此基础之上,再考虑更高级的芯片和板卡。

是不是这样更合理一些?

出0入0汤圆

发表于 2010-6-7 15:14:42 | 显示全部楼层
回复【797楼】dr2001
-----------------------------------------------------------------------

你说的也是很合理的
3S250E PQ208
加1片SDRAM
不考虑LVDS
差不多就是最基本状态了
不知 minux 怎么看?

我们的 MCU 板如果把线距压缩(目前留得很大)应该也是可以在双面板上跑开的

出0入0汤圆

发表于 2010-6-7 16:19:03 | 显示全部楼层
【798楼】 h2feo4 无机酸

我觉得可以。我们现在应该尽可能快点出第一版,好进入软件开发,到时候估计还会发现问题。
这样积累一段时间后,再改进出第二版,同时做一款用BGA封装的“高级”FPGA板,可以考虑
DDR和LVDS差分IO。

另外,如果放一块SDRAM的话,是否可以考虑把IO做到FPGA板上?毕竟我们用4层板,布线不是问题,
而且去掉一片SDRAM的话,空地也挺多,把IO板做上吧?
主要的考虑是,以后如果做LVDS有缘探头,那么接插件也得用好点的接插件了,估计得用控制阻抗的
Mictor连接器。

IO板如果也放在上面,那就得考虑下我们考虑的Vref跟踪电路了。

出0入0汤圆

发表于 2010-6-7 16:32:10 | 显示全部楼层
【796楼】 h2feo4 无机酸

Altera就是没有图示的Pinout图。。。而且其实好多公司(比如Actel)都没有,PLD厂商似乎只有Xilinx有,
这也是让人郁闷的事情。。。

用S3A(N)的话,有一个好处是LVDS支持3.3V的Vcco,这点很不错。

我觉得无机酸希望早点弄一个能接有缘探头的板子好实现高级的逻辑分析仪,对此我是很支持的,而且如果
本板子不做这个,似乎作为第一版实验板也没有物尽其用,这样如何,尝试下4层板上S3A,留几条LVDS通道,
但只上一片SDRAM以留下足够的资源。IO板也尽可能做到这上面,这样我们可以两边同时开发,仿真器和逻辑
分析仪。

等到需求很确定了,已有bug总结得差不多了,那么再考虑高端逻辑分析仪板和仿真器板如何融合(或者分开),
并出第二版电路(同时也考虑第一版我们不需要大量发行,可以先不考虑成本因素)。

这样大家觉得如何?

出0入0汤圆

发表于 2010-6-7 16:40:54 | 显示全部楼层
回复【800楼】minux 啊啊?
-----------------------------------------------------------------------

按3S200A/400A的IO数量来看
我们可以装两片SDRAM还有富裕

到底上哪种级别的东西,大家再讨论一下

LVDS的话接插件受限制的地方比较多,要考虑一下
其实我当初是阴谋用SATA连接器的

出0入0汤圆

发表于 2010-6-7 16:54:33 | 显示全部楼层
【801楼】 h2feo4 无机酸

LVDS连接线用MICTOR吧,50ohm的控制阻抗的,正好这次4层板,也可以稍微控制下阻抗了。
用SATA的连接器,线是没问题,不过重用这个会不会有点问题?既然是自己做,似乎还是
不要把别人的连接器换个用途直接拿来。

IO板和FPGA整合在一起,是否可行?我比较关心这个。SDRAM如果能上两片,那当然是非常
好的,带宽一下子可以提高一倍。不过鉴于这是实验版本,我觉得这方面性能也没必要
太追求,毕竟我们还没有做软件实现,还不知道现有的架构是否合适,如果第一版验证
没问题了,真要做高端逻辑分析仪和Trace的话,就不必拘泥于成本了(当然中低端的我们
也必须要做,而且以后也应该做单板的入门级的)。

出0入0汤圆

发表于 2010-6-7 16:59:35 | 显示全部楼层
回复【802楼】minux 啊啊?
-----------------------------------------------------------------------

IO板整合原则上没有问题

只是说,是直接焊上去,还是做成一个微型子板(mini-PCIE就够了)

MICTOR 有没有 right-angle 版本啊?

出0入0汤圆

发表于 2010-6-7 17:09:24 | 显示全部楼层
IO方案,按以前讨论的?
16个标准IO
每个IO都包含MOS管强上拉和强下拉
MOS管由单片机控制?
模拟开关+AD检测VREF
本地DC-DC恢复出参考电压?

出0入0汤圆

发表于 2010-6-7 17:29:09 | 显示全部楼层
【803楼】 h2feo4 无机酸

IO做成附加板有啥好处呢?
要是考虑差分IO的阻抗匹配,似乎是肯定不能和普通IO共用的…… 同时这样也有一个好处,可以做
Trace+JTAG或者LA+JTAG。

出0入0汤圆

发表于 2010-6-7 17:50:14 | 显示全部楼层
【804楼】 h2feo4 无机酸

用SN74AVC1T45来做电平转换。同时使用模拟开关或者用OC输出的多比较器来控制本地的DC-DC跟踪Vref.

本来应该是可以让FPGA完成的,现在为了简单起见加在全局IIC总线上的单片机控制之,同时可以采样
Vref电压反馈给用户。但是单片机还用ATmega169么?

出0入0汤圆

发表于 2010-6-7 18:05:56 | 显示全部楼层
回复【805楼】minux 啊啊?
-----------------------------------------------------------------------

IO做成子板一个是为了阻抗,另外也是为了方便IO功能多样化
例如可以插一块AD板用来做模拟输入?插一块隔离IO板用来监测高压信号?……
说起来还是全尺寸的IO板扩展性更强

装一个80pin或更大尺寸的Mictor连接器(好贵……),接入16对差分线
使用差分端口时直接引出
不使用时插入短路插头,将其折返至板背面连接器,通往IO板
跟“内存条”方案类似
我们可以在画板时就将Mictor连接器短路(因为用这个的人毕竟是少数)
用到的时候一刀划开,焊Mictor连接器?

出0入0汤圆

发表于 2010-6-7 18:07:05 | 显示全部楼层
回复【806楼】minux 啊啊?
-----------------------------------------------------------------------

单片机不一定要MEGA169,它面积太大了,而且我们用不到这么多IO
规模小一些得也无所谓

出0入0汤圆

发表于 2010-6-7 18:47:34 | 显示全部楼层
差分对数比较少的话,HDMI的阻抗是多少的?

出0入0汤圆

发表于 2010-6-7 18:50:45 | 显示全部楼层
回复【809楼】dr2001
-----------------------------------------------------------------------

HDMI貌似是100欧差分阻抗,给LVDS应该没问题

出0入0汤圆

发表于 2010-6-8 10:16:35 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-6-8 19:15:45 | 显示全部楼层
【810楼】 h2feo4 无机酸

100ohm差分阻抗的话,每条线还是50ohm。50ohm的阻抗还是比较标准的,就用这个吧,如果我们换了
和别的东西就不好接口了。

Spartan-3A的FG256能弄出多少条差分线?准备做多少路差分信号?

另,Mictor似乎没有直角的插座。。。。估计是因为直角会造成阻抗不匹配。。。不过我们可以把
插座焊接在侧面(这样我们得稍微控制下板厚……),我后来又查了一下,J*Trace是这么做的,不是用
直角插座。

我们计划要做到什么速率?不用收发器的话,速度估计就600多一点Mbps了吧?我是觉得绰绰有余了,
再高级,有缘探头的成本就太贵了。。。

出0入0汤圆

发表于 2010-6-8 19:22:05 | 显示全部楼层
我发现,原来Virtex和Virtex-E系列都有QFP240封装…… 原来以为V系列都是BGA呢。。。
可惜这些都停产了…… 不然弄来玩很合适。

出0入0汤圆

发表于 2010-6-8 21:29:58 | 显示全部楼层
回复【812楼】minux 啊啊?
-----------------------------------------------------------------------

HDMI还是很不错的,体积比较小,有4-5对差分线,至少其中3对速度可以上G
而且还带5V电源(可惜只有50mA)和IIC,很方便

XC3S200A/400A 的 FT256 封装总共有90对差分线,其中有10对是 input-only
每个Bank各20对IO,Bank1/3另有5对 input-only
差分对我们至少拉8对出来吧

FPGA的LVDS接收器最大就600M出头,我们肯定在这之下
600M有源探头估计要近50块钱一路(比较器要二十几块,再算上PCB、线材、参考电压、接插件等)

出0入0汤圆

发表于 2010-6-8 22:18:50 | 显示全部楼层
我们使用6mil布线,没法把所有的脚都引出来
能引出来的大概有180个或更少(取决于退耦电容的尺寸和位置)
其中还有十个到十几个input-only
不过对付我们的应用已经足够了

出0入0汤圆

发表于 2010-6-9 13:03:07 | 显示全部楼层
试布线了一下,发现Bank0最适合出差分线,基本上可以把所有线对都比较舒服的引出来

不过转念一想
貌似我们SDRAM的带宽根本跟不上600MHz的LVDS
我们干脆只保留4个差分对算了

出0入0汤圆

发表于 2010-6-9 23:42:57 | 显示全部楼层
【816楼】 h2feo4 无机酸

恩 第一版就算只有1-2个差分对出来都没问题,反正是前期验证。以后要做肯定是要上更快速的RAM的。
这个不是问题。

那就用HDMI口吧。

至于IO放到mini-PCIE板上,倒是可以,可以很容易替换IO板的实现,我同意,不过我还是不建议把
这部分线和差分线混在一起,既然我们的FPGA的IO够多,那就别这样共用了,不然阻抗控制太麻烦了。
就是一个mini-PCIE插座接IO子板,一个HDMI口接差分IO即可。。。。。

不过,这样一来,还是得弄出来IO板,不然还是没办法验证啊。。。

出0入0汤圆

发表于 2010-6-10 08:20:03 | 显示全部楼层
回复【817楼】minux 啊啊?
-----------------------------------------------------------------------

如果差分线和普通IO不共用(当初子板方案主要是考虑IO和LVDS共用)
不共用其实就方便很多,直接把IO板做上去也无妨

因为普通IO速度不会太高,所以同时引到FPGA板背面的连接器也无妨
板上IO部分设计成可以用0欧电阻断开的即可

出0入0汤圆

发表于 2010-6-10 08:34:13 | 显示全部楼层
算了一下2.0mm-pitch排针的阻抗
即使周围的针全是GND
貌似阻抗也有120欧(单端),200欧(差分)
看来在上面跑高速信号是没太大希望了

出0入0汤圆

发表于 2010-6-10 08:38:51 | 显示全部楼层
还有一个问题,我们到底是上两块SDRAM还是上一块SDRAM?
两块方案差不多正好把IO全用光
一块方案能省出三十几个空闲IO

出0入0汤圆

发表于 2010-6-10 16:46:11 | 显示全部楼层
【820楼】 h2feo4 无机酸

上两块SDRAM可行么?如果可以我觉得上两块会好些。

出0入0汤圆

发表于 2010-6-10 18:18:19 | 显示全部楼层
回复【821楼】minux 啊啊?
-----------------------------------------------------------------------

上两块应该没问题
你有Spartan-3A的原理图库么
做一个太费力了
我试布线一下

出0入0汤圆

发表于 2010-6-10 19:20:39 | 显示全部楼层
【822楼】 h2feo4 无机酸

AD 2009 Winter有啊。在:
Altium Designer Winter 09\Library\Xilinx\Xilinx Spartan-3A.IntLib
库里面。

话说OrCAD可以直接用xls之类导入直接生成原理图模型,Protel为啥就没有这个功能呢……

出0入0汤圆

发表于 2010-6-10 20:01:18 | 显示全部楼层
回复【823楼】minux 啊啊?
-----------------------------------------------------------------------

你能帮忙把这个文件传上来么
我的库文件不全
重装一遍太费时间了

出0入0汤圆

发表于 2010-6-10 20:16:47 | 显示全部楼层
【824楼】 h2feo4 无机酸

你是不是没装那几个Update啊?进软件的splash screen显示的版本号是8.0还是8.3?
点击此处下载 ourdev_561026.rar(文件大小:468K) (原文件名:Xilinx Spartan-3A.rar)


ft... 竟然已经有9.3.1版本了…… http://bt.byr.cn/showdetail.php?id=51678

出0入0汤圆

发表于 2010-6-10 21:26:39 | 显示全部楼层
回复【825楼】minux  啊啊?
-----------------------------------------------------------------------

9.3.1感觉还行,不过更慢了。还是6.9速度爽。

话说,9.4貌似已经出来了。

出0入0汤圆

发表于 2010-6-10 23:24:03 | 显示全部楼层
试布线结果:
已引出所有非input-only PIN
背面中间十字空间是与留给电容的
有必要的话还可以引出十几个input-only PIN


(原文件名:20100610A.PNG)


(原文件名:20100610B.PNG)

出0入0汤圆

发表于 2010-6-11 03:42:10 | 显示全部楼层
【826楼】 dr2001

Altium确实是越来越慢…… 越来越大…… 当初的Protel 99SE真是经典…… 现在2GB内存的机器用都不觉得够……


诸位,我最近有点用眼过度,可能得有几日不能看电脑了,你们先弄吧,等你们的好消息。

出0入0汤圆

发表于 2010-6-11 09:37:22 | 显示全部楼层
主要是处理速度慢多了。

要说文件大小的话,我用ThinApp打了一下,只要SCH,PCB两个功能(PCB3D砍掉一部分),不要任何库什么的,大约110M。9.3的话。

出0入0汤圆

发表于 2010-6-11 16:59:54 | 显示全部楼层
计算了我们的IO数量(按可扇出的最大IO数计算)

我们大概能有:
完整的双通道SDRAM
每片39个信号,两片完全独立,共78根线

完整的MCU总线(含DMA信号)(不含 NAND-Flash 控制部分)
D[15:0]
A[23:1] (其中 A[20:16] 可能使用 Input-Only PIN)
nBS[1:0]
nCS0, nCS1, nCS3 (其中 nCS0 可能使用 Input-Only PIN)
nRD, nWE, nWAIT
RD, TD, RK, TK  (其中 TD、TK 可能使用 Input-Only PIN)
两个IO (留作DMA用途,其中一个可能使用 Input-Only PIN)
共53根线

32个 User-IO,16个 User-InputOnly
当布线困难时可能会削减 Input-Only PIN 的数量
共48根线

4个差分对 (其中至少一对会连接到 GCLK PIN)
共8根线

一个CLK IN

共188根线
看一下有没有可能减少的
或者需要添加的

出0入0汤圆

发表于 2010-6-11 17:58:23 | 显示全部楼层
【RD, TD, RK, TK】
这个没有Flag能用么?

RD/TD,K一个,F一个吧。用T还是用R还需要查一下手册。

出0入0汤圆

发表于 2010-6-11 18:03:05 | 显示全部楼层
回复【831楼】dr2001
-----------------------------------------------------------------------

恩,查一下手册,也可以留个0欧电阻选择

另外,我们在FPGA底下放0306封装的电容可以不?不知这玩意好不好买?

出0入0汤圆

发表于 2010-6-11 19:27:35 | 显示全部楼层
请教一下 h2feo4 无机酸 大师,
你也用激光器?用的是什么类型的?能否在驱动电路上指导一下,
我们用的是830的激光器,1W左右,一台设备64个激光器在高速在进行开关,是个吃电流老虎,大概电路如下,加了一个平衡负载,能实现,但是都是分离元件,不好做到集成和一致性,如果要上128个激光器,那就更是问题了,无机酸大师是否能指点一二,谢谢

(原文件名:未命名.JPG)

出0入0汤圆

发表于 2010-6-11 20:15:13 | 显示全部楼层
回复【833楼】langley
-----------------------------------------------------------------------

抱歉,我从来没研究过激光驱动电路,一直都是买现成的

出0入0汤圆

发表于 2010-6-11 22:12:33 | 显示全部楼层
最多可扇出的线有188条
排除其中7个特殊引脚(4个JTAG,2个Config,1个Suspend)
只剩下181个
故需要从【830楼】的IO列表中删除7-8个Input-Only引脚
未能扇出的14个 Input-Only PIN 全都接地处理了
电容用的0306封装,有点担心好不好买


(原文件名:20100611A.PNG)


(原文件名:20100611B.PNG)

出0入0汤圆

发表于 2010-6-12 08:29:40 | 显示全部楼层
回复【832楼】h2feo4  无机酸
-----------------------------------------------------------------------

0306还真不太好说。这种东西批量订货没问题,零散的用户可是不多。
0306的话,不如0402,封装小一点。

出0入0汤圆

发表于 2010-6-12 14:30:39 | 显示全部楼层
回复【836楼】dr2001
-----------------------------------------------------------------------

把电容换成了0402的


(原文件名:20100612A.PNG)

出0入0汤圆

发表于 2010-6-12 16:59:54 | 显示全部楼层
不要搞BGA啊,安装调试是个大问题

出0入0汤圆

发表于 2010-6-12 17:04:21 | 显示全部楼层
回复【838楼】newbier
-----------------------------------------------------------------------

目前做的这个是1号测试板(非量产型)
调试基本稳定之后会出QFP封装,双面板的,方便DIY

出0入0汤圆

发表于 2010-6-12 21:34:23 | 显示全部楼层
想有没有可能搞成这样
留一个PQ208的位置,例如XC3S250E/500E
考虑焊FT256时支持两片SDRAM
焊PQ208时只支持一片SDRAM
空间倒是装得下,略有点挤
IO数量也够
唯一头痛的就是Spartan-3E有个2.5V的VCCAUX,很不爽,电源跑起来太辛苦
不知有没有什么FPGA,PQ208封装,IO数量不少于121个,算上Input-only总共不少于134个,只用两组电源(1.2V/3.3V)就能玩的?


(原文件名:20100612B.PNG)

出0入0汤圆

发表于 2010-6-13 00:59:12 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-6-13 09:58:52 | 显示全部楼层
回复【840楼】h2feo4  无机酸
-----------------------------------------------------------------------

这么搞是不是太复杂了?

AUX印象中电流不是很大,不行反面放一个小LDO?

出0入0汤圆

发表于 2010-6-13 10:15:42 | 显示全部楼层
回复【842楼】dr2001
-----------------------------------------------------------------------

是有点太复杂了
其实其他都好说,就电源比较麻烦
AUX要是只有一两个也就算了,但是他有8个,一边两个,谁也不挨谁
内核电源也不是很好搞

要不干脆分开两个版本算了,PQ208尽量优化放到两层板上,功能相同,只是少一片SDRAM

出0入0汤圆

发表于 2010-6-13 10:30:02 | 显示全部楼层
另外,对于minux建议的FPGA板板载IO电路
我建议,只板载简易电路(而且串0欧电阻),就是只有1T45,不考虑自适应参考电压和软GND功能
所有IO线同时引到FPGA板背面连接器
全功能的IO板还是单独做吧,不过双面板就OK了
FPGA板载部分IO功能只是方便尽早调试

出0入0汤圆

发表于 2010-6-13 10:42:34 | 显示全部楼层
回复【844楼】h2feo4  无机酸
-----------------------------------------------------------------------

我觉得没必要兼容太多情况。比如非要BGA+QFP的话,用3A这样的也OK。丢引脚就丢引脚了。
兼顾就是兼得到的顾,顾不上就不考虑,反正是添头。

既然IO板是最终的目的;板载IO,添加几个,方便调试,意思意思就可以了。

出0入0汤圆

发表于 2010-6-13 10:46:09 | 显示全部楼层
回复【845楼】dr2001
-----------------------------------------------------------------------

3A最大的QFP只有TQ144,放这个的话不接SDRAM还差不多
既然没找到合适的,还是只放BGA好了

出0入0汤圆

发表于 2010-6-13 16:16:15 | 显示全部楼层
SDRAM的nCS和CKE这两个信号我们有必要引出吗?

出0入0汤圆

发表于 2010-6-13 18:28:02 | 显示全部楼层
貌似我们这个应用没必要考虑等长线之类的
SDRAM 这边大概就这样了


(原文件名:20100613A.PNG)

出0入0汤圆

发表于 2010-6-13 19:17:10 | 显示全部楼层
回复【847楼】h2feo4  无机酸
-----------------------------------------------------------------------

nCS,CKE没必要吧,我们又不搞低功耗什么的。。。。

这点距离不需要等长,我认为。1 inch 约200ps的延迟,这个布线差不出来多少。

出0入0汤圆

发表于 2010-6-13 20:22:18 | 显示全部楼层
Spartan-3A 对上电顺序有要求么

查了下,貌似没有要求(图片截自 ds529 Page-54)

(原文件名:20100613B.PNG)

出0入0汤圆

发表于 2010-6-13 21:09:35 | 显示全部楼层
回复【850楼】h2feo4  无机酸
-----------------------------------------------------------------------

Xilinx上电顺序无要求。

出0入0汤圆

发表于 2010-6-14 10:35:53 | 显示全部楼层
可以考虑用斯巴达6代,更便宜,容量更大,只要两组电源,3代已经落伍了

出0入0汤圆

发表于 2010-6-14 10:46:56 | 显示全部楼层
回复【852楼】newbier
-----------------------------------------------------------------------

S6不好买,而且能在四层板上跑开的封装很少
我正在考虑以后如果做高端板子的话,8层板LX45挂DDR2也许不错,不过这最快也是半年之后的事情了

出0入0汤圆

发表于 2010-6-14 11:09:39 | 显示全部楼层
看了下S6的Pinout,感觉很不错啊(图片截自 ug385 Page-273)

(原文件名:20100614A.PNG)

布局非常合理,跑线容易
而且没有Input-Only,全是IO,还有Memory-Controller,很方便啊
不过奇怪下Xilinx的引脚图怎么变成这种风格了

出0入0汤圆

发表于 2010-6-14 15:37:01 | 显示全部楼层
Spartan-6除了QFP封装都没有Memory Controller之外,哪里都好……
但是现在没办法用,总不能上一个XC6SLX45(国内有货的似乎最小就是这个)吧…… LX4和LX9在各种地方都买不到……

出0入0汤圆

发表于 2010-6-14 15:42:18 | 显示全部楼层
minux
关于FPGA板板载IO电路
我的思路是只考虑基本功能(只装1T45),不考虑软VREF,及软GND

因为这部分电路还没完全敲定

不过FPGA板从目前来看空间相当富裕,倒是可以考虑再塞些东西

出0入0汤圆

发表于 2010-6-14 17:56:24 | 显示全部楼层
【856楼】 h2feo4 无机酸

IO不做完整版我同意,不过既然有地方我们就多验证点东西吧?比如Vref部分,咱们也别接模拟开关了,就固定一个脚
跟踪,但是串入一个较大的电阻以模拟模拟开关的内阻,同时所有1T45的电源通过一个0ohm电阻选择是从某一个固定的
管脚过来还是让DC-DC输出。我们就放上面一个最简单的buck拓扑即可,单片机接也可以,不接也可以,只要有一个比较
器,接FPGA就行了;但是滤波电容得多点。GND切换似乎没有什么特别大的问题,就做一路如何?

当然,如果有别的更重要的需要验证的东西,也可以代替这个Vref跟踪的验证,反正就是板子面积别浪费了就好了。

另外,进度还得快些,不然要赶不上投板了。再一周如何?

出0入0汤圆

发表于 2010-6-14 18:11:18 | 显示全部楼层
回复【857楼】minux 啊啊?
-----------------------------------------------------------------------

出个原理图草稿吧

另外,我们还要抽时间审查一下MCU板有没有错误

时间问题不是很大

出0入0汤圆

发表于 2010-6-14 20:51:28 | 显示全部楼层
我们的1T45用什么封装?
SOT23(DBV) 还是 SC70(DCK) ?

出0入0汤圆

发表于 2010-6-14 20:52:57 | 显示全部楼层
FPGA 主时钟用什么 7*5有源晶振?
挂在哪个端口上,GCLK0 可以不?

出0入0汤圆

发表于 2010-6-14 21:47:31 | 显示全部楼层
回复【860楼】h2feo4  无机酸
-----------------------------------------------------------------------

7*5贴片有源即可。好买。

端口只要是GCLK就行,不要用LHCLK/RHCLK。

出0入0汤圆

发表于 2010-6-14 22:20:05 | 显示全部楼层
看一下JTAG切换电路这么做行不行

F-xxx是FPGA的JTAG口
P-xxx是FPGA板板载的调试接口(其中P-REQ为调试线插入检测,低电平有效)
T-xxx是来自FPGA板正面连接器的JTAG口(其中T-ACK用于向上层电路板提供插入检测状态,高电平有效)
B-xxx是通往FPGA板背面连接器的JTAG口(其中B-REQ为下层电路板插入检测,高电平有效)

当插入FPGA调试线时,将FPGA与主JTAG链路中旁路
当下层电路板未插入时,折返TDI信号

上下拉电阻未画出

讨论下LVC157/257用什么封装的


(原文件名:20100614B.PNG)

出0入0汤圆

发表于 2010-6-14 22:28:07 | 显示全部楼层
MCU板可能还有些非致命问题需要修正
5V输出控制
SD卡槽封装

出0入0汤圆

发表于 2010-6-14 23:07:37 | 显示全部楼层
【859楼】 h2feo4 无机酸

弄个兼容的封装行不?还不知道哪个好买……

出0入4汤圆

发表于 2010-6-14 23:17:52 | 显示全部楼层
我对这个贴子的一些数据感到非常的好奇:
发贴时间:2009-12-17,22:45:15 回复数:859, 点击数:1253468
我写此时的在线人数:697  登录会员数:260  未登录访客数:437

这个贴子,从发贴,到现在,不到半年时间,就按半年180天的算。
每天的平均点击量为 1253468 / 180天 = 6963.71 / 天 ,每小时为290次。
另外,在线人数 697 ,我按正常化少一点,600人, 600人 / 290次 = 2.02 /人次

也就是说,此贴从发贴到现在,在每小时里,所有的在线人员上来浏览ourdev的网友,有一半人员都占击了此贴。
这是何等的热度啊,不知道ourdev里有没有和此贴同等热度或超过它的贴子。

---以下增加---
http://www.ourdev.cn/bbs/bbs_content.jsp?bbs_sn=4071664&bbs_page_no=1&bbs_id=9999
另,我6月1日发贴的时候,此贴的浏览量是1251467,现在是1253468,相差2001次,日期相差为13天,
则2001次 / 13天 = 153.9次 / 天 = 6.4次/小时,跟原先的相比,数据相差太远了。

出0入0汤圆

发表于 2010-6-14 23:52:58 | 显示全部楼层
弄DC-DC的时候,突然发现,还不能用太简单的buck,因为我们的驱动器的电流应该不大……
低负载电流情况下,buck会工作在断续模式,然后就太不好控制了(尤其是只用FPGA来做的话)。

我考虑下别的机制。

就用个大输出电流的运放(电路)做单位增益的电压跟踪行不?

出0入0汤圆

发表于 2010-6-15 11:18:01 | 显示全部楼层
回复【864楼】minux 啊啊?
-----------------------------------------------------------------------

卡座封装的兼容情况
目前支持的有:

完全兼容下图卡座长、中、短版本,及MMC4.0版本(此卡座我手里有5只储备,阿莫邮购部有售,taobao上大量)

(原文件名:image_big_4847_2010.jpg)

部分兼容下图卡座(只是不支持插入检测和写保护,此卡座我手里有10只储备,阿莫邮购部有售,taobao上大量)

(原文件名:04 据说这一只SD卡是PROCONN最畅销的产品.jpg)


可能支持的其他卡座有:

AT91SAM7X256-EK 开发板上用的就是此物,但是没找到Datesheet,所以没画进去(我没有库存,taobao上有售)

(原文件名:sd_in.PNG)

翻盖式MicroSD座,如果有需要就画进去(我有5只库存,阿莫邮购部有售,taobao上有售)

(原文件名:image_big_4821_2020.jpg)

出0入0汤圆

发表于 2010-6-15 11:25:38 | 显示全部楼层
回复【866楼】minux 啊啊?
-----------------------------------------------------------------------

如果DC-DC电流小了不好搞,简单的方法就是上个负载电阻
不要搞得太复杂

出0入0汤圆

发表于 2010-6-15 11:59:31 | 显示全部楼层
FPGA内核的供电我们还采用NCP1529吗?

出0入0汤圆

发表于 2010-6-15 12:03:16 | 显示全部楼层
另外,我把内电层扩展我从0.3mm 压缩到了0.25mm
因为这次我们有BGA,下面都是过孔,如果内电层扩展太宽,地平面/电源平面就要断掉了
目前FPGA内核供电是最惨的,因为要从BGA中间引出来,经过众多过孔,最窄处只有0.4mm
下面空间有限, 内核电源也只装4只0402电容


(原文件名:20100615A.PNG)

不知道minux要去什么厂做板,能不能提供一下可行的工艺范围
包括表层线宽线距,内层线宽线距,内电层退让宽度,孔径环径,层厚,铜厚,介电常数,丝印最小线宽等

出0入0汤圆

发表于 2010-6-15 15:07:01 | 显示全部楼层
我们的IIC要挂到FPGA上么?

出0入0汤圆

发表于 2010-6-16 02:17:48 | 显示全部楼层
【870楼】 h2feo4 无机酸

那厂家工艺很好的,不过我以前没问过4层板的工艺,详细情况我得过节后打电话问下。
那里最好的情况下:
线宽线距 3mil/3mil,内层可以到2.5/2.5
最小钻孔:0.15mm(机械钻孔)
最小焊环:4mil
板厚:双面板0.2-7.0mm    多层板:0.4-7.0mm
板厚孔径比:16:1
最小层厚:2mil

但是我不能确定不加钱的工艺到什么程度…… 我们表层铜厚就1oz吧,需要更厚么?板材就是FR4。

出0入0汤圆

发表于 2010-6-16 02:44:21 | 显示全部楼层
【871楼】 h2feo4 无机酸

有空就挂吧,虽然我估计用不上。IIC协议有点小复杂,挺不适合FPGA来弄的。


Vref部分电路,如果我不能用一个常用运放+BJT/MOS管解决,那就不加了。
现在先得仿真下这部分,不知道OPA高频响应对于这个的影响以及旁路电容的取值。

出0入0汤圆

发表于 2010-6-16 16:21:45 | 显示全部楼层
我们目前总共可引出的FPGA引脚有181个,其中IO有160个,Input-Only有21个
目前来看
我们必须使用IO的有:
SDRAM,每片37根(nCS 和 CKE 没有引出)
User-IO,32根
MCU-D[15:0],16根
MCU-A[19:1],19根
nBS0,nBS1,nCS1,nWE,nRD,nWAIT,6根
GCLK,1根
LVDS,8根
DMAREQ,1根
共计157根

只剩下3个IO可用
考虑下把哪些东西挂在这仅剩的3个IO上?
SSC/IIC/USART2/USART3,或者?

剩下的部分信号考虑使用Input-Only脚,包括
MCU-A[23:20],4根
nCS0,nCS2,nCS3,DMAACK,4根

Input-Only脚还有13根空闲

也可以考虑下如何分配

FPGA板面积大概还有约50mm*30mm空间预留给IO电路

出0入0汤圆

发表于 2010-6-16 17:03:05 | 显示全部楼层
另外一个
因为我们的IO板只有32条引线
我们可能有足够的空间让总线穿板……

出0入0汤圆

发表于 2010-6-16 17:48:27 | 显示全部楼层
【874楼】 h2feo4 无机酸

似乎还得把一个同步串口接到FPGA上吧,传递些带外数据(比如FPGA正在DMA的时候用户Abort)。
如果管脚紧张,那么就别接IIC了,接一个USART上去?(同时避开在MCU板上接MAX3232的两组UART). 或者接SSC,这个
更灵活一点。

FPGA向MCU申请中断的线是否需要?(没有异步通知机制还是比较麻烦的,JTAG就是一个例子,很多问题都得轮询来搞定,
于是效率就低了)


【875楼】 h2feo4 无机酸

那就是IO板还是做成全大小的层叠形式?
是否需要支持FPGA板堆叠FPGA板?

出0入0汤圆

发表于 2010-6-16 22:05:20 | 显示全部楼层
回复【876楼】minux 啊啊?
-----------------------------------------------------------------------

SDRAM的37条线中还能再省么?

我原本是打算把SSC接到FPGA上的
但是SSC这6个信号中我们需要几个?
RD/TD 肯定要(TD用Input-Only脚就行了吧?)
RK/TK 留一个应该就行了吧?但是留哪个?用Input-Only行不?
RF/TF 留一个还是留两个?用Input-Only行不?

USART也可以连上一个,不过只能给他一个IO,其他都用IP

目前FPGA给MCU的异步线只留了一条(DMAREQ),当然可以考虑移做他用,或者再加一条

IO板做成什么样子无所谓,因为都能用

FPGA堆叠麻烦就在于nCS脚,其他都还好办,因为MCU的nCS脚只有两个能给FPGA,所以当堆叠超过两个的时候就可能出问题
我们用地址线高位译码,或者用菊花链?

还有,经过计算,2.0mm排针如果合理设计,是可以大致控制差分阻抗在100欧附近的(但受制于接插件几何尺寸,阻抗不是很连续,最大处可能有150欧),不过浪费空间比较大(每对线占至少6个针,其中4个为GND)
不过如果把差分对这么引出来,就没地方让总线穿板了

出0入0汤圆

发表于 2010-6-16 22:15:56 | 显示全部楼层
如果我们削减LVDS到两对(或者两对IO,加两对IP),其实就比较舒服了

出0入0汤圆

发表于 2010-6-17 00:28:04 | 显示全部楼层
凌晨顶起!默默支持,常常关注!

出0入0汤圆

发表于 2010-6-17 02:31:30 | 显示全部楼层
【877楼】 h2feo4 无机酸

继续省SDRAM的线就限制带宽了,当然是可以的,比如最简化可以把地址线和数据线均共用,Data分开(看似带宽没什么
变化,但是需要注意,SDRAM不是每个时钟周期都可以执行操作的)。

SSC接到FPGA的话,RD/TD没问题,RK和TK接任一个都可以(只要我们放弃分开发送和接收时钟,可以用input-only管脚,
但是最好能接到一个时钟管脚),就接TK吧;RF/TF都可以不接,他们作为帧同步信号,不是必需的(我们可以固定帧长
同时用停时钟的方式,不过如果input-only管脚多的话,可以接一个TF,不过我觉得没必要,就停时钟吧)。
另外,RF可以这么用,我们把它当作一个异步中断请求,因为我们能在MCU端把它做GPIO,而且如果需要的话,还是能
用上的。同时,TF和RF在MCU端都是双向的,如果接的话,也一定要接IO(他们还可以做GPIO,可以有别的作用)。

唉,我多么希望那些input-only的管脚是output-only的啊…… 似乎用处会多些。

异步中断脚,当然上面说的方案都不那么优雅,如果MCU能挤出一条GPIO做专门的(可以开漏)全局中断请求的话,再好
不过。

恩,我同意LVDS两对IO,两对IP。我们本来不也是要采集数据么,当然要输出数据也可以照顾下,比如做附加两路信号
发生器。至于这个路数现在倒是没必要弄太多,最重要的是有没有,只要有就能开发对应的功能,数目少就少点吧。
最近仔细看看Spartan-6的UG,实在是让我馋得流口水…… 以后一定要上它,Cyclone不用考虑了(我原来是用Altera的,
彻底“叛变”了,哈哈)…… 就算是LX9FT256封装,也可以上个400MHz 16位的DDR2,爽啊。里面还有DSP48A1,做一部分
信号处理的工作(比如做模拟示波器的滤波部分等,原来S3就这点太不爽,只有S3A-DSP才有真正的DSP48A)。

出0入0汤圆

发表于 2010-6-17 13:04:47 | 显示全部楼层
回复【880楼】minux 啊啊?
-----------------------------------------------------------------------

SDRAM就37根吧

SSC这么安排如何:
RD - IO(GCLK)(因为RD脚兼有PCK功能,故分配一个GCLK给他备用)
TD - IP
RK - NC
TK - IO(GCLK)
RF - NC
TF - IP

USART1
RXD1 - IO(GCLK)(因为RXD1脚兼有PCK功能,故分配一个GCLK给他备用)
TXD1 - IP
SCK1 - IO(GCLK)

另外鉴于MCU有一些空闲IO,我们可以拉出来一条做中断
PB3 - IO
这样如何?

我们还可以剩下两个IO可用

差分线就两对IO,两对IP吧
接口用HDMI?还是SATA?

S6如果有货源的话那是很不错的,不过要是能用6层板就更好了,电源布线更舒服,而且我们甚至可以考虑下LX45-FG484

对了,有空问一下板厂(在我们可接受的成本内)的可行工艺范围

出0入0汤圆

发表于 2010-6-17 13:12:58 | 显示全部楼层
另外,之前向外输出5V的控制策略可能不完善
我们也许需要重新讨论这部分电路

出0入0汤圆

发表于 2010-6-17 13:47:18 | 显示全部楼层
目前现状:

SDRAM,每片37根(nCS 和 CKE 没有引出)
User-IO,32根
MCU-D[15:0],16根
MCU-A[19:1],19根
nBS0,nBS1,nCS1,nWE,nRD,nWAIT,6根
GCLK,1根
LVDS,4根
DMAREQ,1根
INT,1根
RD, TK,2根
RXD1,SCK1,2根
共计158根

剩下2个IO可用

剩下的部分信号考虑使用Input-Only脚,包括
MCU-A[23:20],4根
nCS0,nCS2,nCS3,DMAACK,4根
LVDS,4根
TD,TF,2根
TXD1,1根

Input-Only脚还有6根空闲

出0入0汤圆

发表于 2010-6-17 16:51:32 | 显示全部楼层
S6是好东西啊,S3就算了吧,搞S3还不如搞C4或者C2,不过这东西做出来成本蛮高的,有必要吗?

出0入0汤圆

发表于 2010-6-17 16:57:03 | 显示全部楼层
回复【884楼】newbier
-----------------------------------------------------------------------

目前能买到S6么,例如LX9,或者LX16,FT256封装这样的?貌似Digikey上都没有

出0入0汤圆

发表于 2010-6-17 18:04:14 | 显示全部楼层
【884楼】 newbier

我们的设计没有用serdes,用C4是浪费(而且C4除了serdes之外,就是C3了),C2的性能提升太少,如果
要用Altera的器件,应该用C3。
但是,我觉得S3家族的结构设计是比Cyclone好的(虽然论性能的话,Cyclone3是比S3E/A要好; S3E/A相对
S3的优势非常小)。要性能的话,等以后上S6,如果换了C4的话,以后再切换回Xilinx不是会很麻烦么。

问题是我们想用3.3V电源做LVDS同时去掉2.5V的Vccaux,符合这个要求的只有S3A了,另外,FT256封装能在
4层板上布开也是一个限制。
C3的PLL也要上2.5V,这样板子上有得多一个电源了。

放心,以后肯定上Spartan-6,于是,连Cyclone 4都没有任何优势可言了。
目前根本无法买到XC6SLX25以下的品种,应该是根本没有上市,要是能有的话,就是从digikey买,也会上。

现在的成本高,我们也知道,这个版本仅仅是内部开发使用。以后要发布之前自然要削减硬件做更低成本的。

出0入0汤圆

发表于 2010-6-17 18:24:08 | 显示全部楼层
【881楼】 h2feo4 无机酸

en 同意,如果只有4路的话,那还是得用HDMI吧…… SATA只定义了两对;另外,我建议把IIC也通过
HDMI给出去,这样采集子板和MCU还有一个通讯机制。

ps: AVNET上有现货的也只有XC6SLX45-2FG676C,$79.54,有点太贵了,关键是至少得8层板吧。
上次去电子市场问,说得按盘拿才给发货。

出0入0汤圆

发表于 2010-6-17 18:56:15 | 显示全部楼层
回复【886楼】minux 啊啊?
-----------------------------------------------------------------------

Digikey上有LX16-CS324
但按我们的工艺限制,0.8mm-pitch BGA是不太可能跑开的

出0入0汤圆

发表于 2010-6-17 19:19:36 | 显示全部楼层
【888楼】 h2feo4 无机酸

digikey那个是没现货的……
而且底下还有一行字:“Obsolete item; call Digi-Key for more information.”

出0入0汤圆

发表于 2010-6-17 19:42:23 | 显示全部楼层
试布线了一下
如果我们能把工艺范围扩展到线宽/线距=5mil/5mil(如果能用4mil/4mil就更好了),孔径/环径=0.2mm/0.375mm
我们可以在四层板上跑开FG484,而且能保证电源平面状态还不错
不知道这个工艺要多少成本
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-4-26 17:27

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表