搜索
bottom↓
回复: 560

秀一下俺做的一款XILINX FPGA电子竞赛套件

  [复制链接]

出0入0汤圆

发表于 2010-7-18 14:34:24 | 显示全部楼层
回复【87楼】armok 阿莫
1. cool . cool帖子允许做广告。
2. 上面要求私下提供资料的网友,败坏了我们论坛的交流与讨论气氛。这些帖子已经全部被删除。
3. 楼主助长这种不良气氛,扣分50处理. 其它参与的网友也扣分50.
注: 为了避免负分的出现,涉及的网友如积分不到50的,统一封锁 id 处理。  
     楼主的分数也不到50分,id也被封锁。 如果仍喜欢我们这里,可以重新注册id.
再重申一次: 所有的交流请在论坛上进行。 不想公开交流的,请离开我们这里。
我们这里之所以资料丰富, 讨论气氛好,源来各种乐于开源者的无私交流与贡献。 我不会容许这个气氛被破坏。

另:饮水思源。 大家必须明白, 我们这里的资料,不是从天上掉下来的。
-----------------------------------------------------------------------

首先非常感谢站长阿莫对该贴的置COOL支持!
先前有些网友留下Email地址索要一些资料,俺Email回复了,可能违反了论坛的交流与讨论气氛。在此表示歉意,希望能重新注册ID,继续在论坛和大家里进行交流。
现在手头有不少电子竞赛的经验和资料可以和大家一起交流分享,待俺陆续整理传上来。也希望大家把自己的竞赛经验和资料拿出来公开交流,共同进步!

出0入0汤圆

发表于 2010-7-18 17:37:42 | 显示全部楼层
回复【86楼】tangjiamin01
涨见识了,强人啊!
那个幅频特性测试仪是怎么做的?
-----------------------------------------------------------------------

通过FPGA控制DA产生扫频信号,将扫频信号送入被测网络,再将网络输出信号送给AD采集,完成每个频点的幅度和相位测量,并通过TFT彩屏液晶将幅频曲线和相频曲线显示出来。其中扫频信号的产生、AD采集控制、幅度和相位测量都是利用FPGA的逻辑设计完成,TFT彩屏液晶显示则是利用51内核完成。

出0入0汤圆

发表于 2010-7-18 17:41:52 | 显示全部楼层
回复【91楼】super373
楼主参加了几届电赛啊?
-----------------------------------------------------------------------

从05年至今,除了国赛还有省赛。

出0入0汤圆

发表于 2010-7-19 22:13:13 | 显示全部楼层
回复【96楼】some9009 倔强落叶
怎么电子大赛还有职业和非职业的区别呀
-----------------------------------------------------------------------

大学生电子设计竞赛分全国赛和各省赛,全国赛每两年一界。今年是各省赛。

出0入0汤圆

发表于 2010-7-20 14:38:53 | 显示全部楼层
回复【98楼】djp1
cool了不错嘛~呵呵
时不时过来瞅一瞅~
-----------------------------------------------------------------------

回复【99楼】yasewang2 灰太狼
这个贴真好
-----------------------------------------------------------------------

谢谢你们的支持!

希望大家都能够参与到这个贴子中来,把自己的优秀作品拿出来秀一下,将竞赛经验和大家一起交流分享!

出0入0汤圆

发表于 2010-7-21 08:29:43 | 显示全部楼层
秀一下最近赛前训练的一个作品,任意波发生器。任意波发生器曾是2001年的A题竞赛题目。任意波发生器是通过FPGA控制DA,产生一个随意波的仪器。实际上是将波形预先存入到FPGA的ROM内,然后根据需要进行调用。在构思好任意波后,用数学公式进行描述,然后通过MATLAB将数据离散。有了数据后,还需要将数据导入FPGA的ROM中。

下图是将MATLAB仿真的数据导入FPGA内产生的圆形笑脸任意波。

任意波发生器 (原文件名:任意波发生器.JPG)

出0入0汤圆

发表于 2010-7-23 00:31:46 | 显示全部楼层
回复【104楼】tangjiamin01
我还是第一次在示波器上看到笑脸波形,楼主真有创意!怎样能使da在同一个时刻输出多个值在示波器上显示呢?
-----------------------------------------------------------------------

回复【105楼】usingavr
回复【104楼】tangjiamin01   
我还是第一次在示波器上看到笑脸波形,楼主真有创意!怎样能使da在同一个时刻输出多个值在示波器上显示呢?
-----------------------------------------------------------------------
应该是离散的点构成的,同一个时刻只有一个值

-----------------------------------------------------------------------

usingavr说的对,送给da的数据在同一个时刻只有一个值 ,示波器要采用“点显示”方式才能看到上面的效果。

出0入0汤圆

发表于 2010-7-28 22:06:13 | 显示全部楼层
今天刚从学校拿到“高教社&XILINX杯”竞赛用指定开发板(EXCD-1开发板)。这块板子不便宜,听说要上千元,秀一下。

EXCD-1开发板 (原文件名:EXCD-1开发板.jpg)
跑了一下里面的例程,有个俄罗斯方块游戏有点意思,测试结果如下图:

俄罗斯方块游戏 (原文件名:俄罗斯方块游戏.jpg)
程序是用VHDL代码写的,有点复杂。源代码如下:
俄罗斯方块游戏ourdev_571377.rar(文件大小:3.67M) (原文件名:ISE_lab19.rar)

出0入0汤圆

发表于 2010-7-31 20:27:06 | 显示全部楼层
回复【108楼】tangjiamin01
-----------------------------------------------------------------------
谢谢,明白了。不管什么波形,只要画好放进rom里就可以输出任意波形,对吧。
-----------------------------------------------------------------------

是的,原理上说可以生成任意形状的波形。

出0入0汤圆

发表于 2010-7-31 20:51:43 | 显示全部楼层
回复【113楼】djp1
-----------------------------------------------------------------------

是啊,今年是省赛,题目应该比国赛简单些。不过想获得高分也不太容易。

下面是今年5月份举办的“高教社&XILINX杯”2010年北京市大学生电子设计竞赛的有关情况。希望能给今年其他省的竞赛选手有所启发。

竞赛采取一天封闭形式,在北京市的7所高校集中进行。这是一次公平的竞赛,所有本科参赛队伍均使用高教社(北京中教仪装备技术有限公司)提供的EXCD-1可编程片上系统开发板,竞赛需要用到的所有设备、元器件和通用PCB板均由北京市竞赛组委会统一发放。

本科组题为“乒乓球游戏机”,系统框图如下:

“乒乓球游戏机”系统框图 (原文件名:“乒乓球游戏机”系统框图.jpg)

要求用指定的FPGA平台结合SOPC技术构造一个乒乓球游戏机。系统结构如图所示,FPGA通过两条I/O信号输出两组脉宽调制波,经过低通滤波器提取脉宽调制的均值电压,输入到双通道示波器中。示波器工作在X/Y模式。示波器屏幕上的光点代表乒乓球。乒乓球X/Y电压的控制下在屏幕上移动。
这道题可用状态机实现,也可用51内核或MicoBlaze软核实现。如果用硬件描述语言写状态机实现,对逻辑要求非常高,在一天时间内写出来工作量很大,因此使用51内核或MicoBlaze软核实现,更加简单方便。

目前,我们正在以这道题作为赛前的训练题目,过一段时间将我们的训练结果发布上来。大家有什么好的经验和资料,也可以一起交流一下。

出0入0汤圆

发表于 2010-8-5 11:37:04 | 显示全部楼层
回复【120楼】youngsh
很强!楼主参加了多少电子大赛啊!最多就两届,楼主从05到现在,不是职业棒人家做的吧!
-----------------------------------------------------------------------

呵呵,指导老师,职业帮自己做。

出0入0汤圆

发表于 2010-8-5 11:47:20 | 显示全部楼层
回复【121楼】djp1
北京为什么5月就完了啊。。还以为是9月呢。。。北京是6个还是8个小时来的?
-----------------------------------------------------------------------

是的,北京是比赛1天,和国赛的4天3夜形式不同。在1天内想做好这道题还是很不容易的。

最近我们正在用Microblaze软核做这道题,目前脉宽调制波已经发出来了,两路低通滤波器也做好了。测试了一下,已经可以利用FPGA用脉宽调制方式控制球的位置了。稍后将贴出我们的实验结果。

出0入0汤圆

发表于 2010-8-8 12:28:52 | 显示全部楼层
回复【126楼】sophy_heu 蒋碧涵
-----------------------------------------------------------------------
黑龙江的省赛是15天啊……也有fpga,可不可以对于之前准备工作提供一些意见?应该准备哪些模块啊?谢谢~望指教
-----------------------------------------------------------------------

“2010年黑龙江赛区大学生电子设计竞赛“通知 (原文件名:“2010年黑龙江赛区大学生电子设计竞赛“通知G.jpg)

看来还是黑龙江的省赛时间充裕啊。今年黑龙江的省赛好象也是高教社和美国XILINX公司联合赞助的,应该会有1道题要用指定的FPGA开发板吧。

竞赛之前,首先要明确自己的选题方向,是信号类的、电源类的、还是控制类的,等等。如果是信号类的,可以提前准备一下A/D、D/A模块,还有一些信号调理电路、功放电路,等等。

出0入0汤圆

发表于 2010-8-10 10:42:51 | 显示全部楼层
回复【126楼】sophy_heu 蒋碧涵
回复【122楼】navalguy2  
-----------------------------------------------------------------------
黑龙江的省赛是15天啊……也有fpga,可不可以对于之前准备工作提供一些意见?应该准备哪些模块啊?谢谢~望指教
-----------------------------------------------------------------------

信号类的,可以提前准备一下A/D、D/A、DDS模块,还有一些信号调理电路、功放电路,等等。下面是俺淘宝小店(http://shop60270632.taobao.com)里的部分电子竞赛模块,算打一下广告吧,呵呵!

这些模块既可以配合本店FPGA最小系统板使用,也可以配合其他的单片机或FPGA最小系统板使用,如今年“高教社&XILINX杯”竞赛所用的开发板(EXCD-1开发板)。
1、A/D模块
双路高速AD扩展模块板,此部分主要由两片12位40MSPS的AD9224高速模/数转换芯片组成,可以满足用户对于高速数据采集与处理的要求,用户可以通过FPGA最小系统板来完成对双路AD转换的时序控制、数据的实时处理(如数字滤波、FFT等算法)以及波形的存储。

AD模块 (原文件名:AD模块.JPG)
2、D/A模块
双路高速DA扩展模块板,此部分主要由两片14位125MSPS的AD9764高速数/模转换芯片组成,可以满足用户对于高速信号产生的要求,用户可以借助FPGA最小系统板,利用DDS技术实时产生点频、扫频、ASK、FSK等各种形式的数字信号,并通过控制高速DA转换来得到所需的模拟信号。

DA模块 (原文件名:DA模块.JPG)
3、DDS模块
高速DDS扩展模块板,主要由一片2路模拟输出的AD9854 DDS芯片,以及两片AD8009高速运算放大器组成,可以满足用户对于高速信号产生的要求,用户可以借助FPGA核心模块板,利用通过模式控制和频率字控制实时产生点频、扫频、ASK、FSK等各种形式的模拟信号。

DDS模块 (原文件名:DDS模块.JPG)

DDS模块输出 (原文件名:DDS模块输出.JPG)

出0入0汤圆

发表于 2010-8-13 09:26:11 | 显示全部楼层
回复【131楼】tangjiamin01
回复【130楼】navalguy2  
-----------------------------------------------------------------------
楼主开发的模块自成系列,佩服!还有没有其它模块,如控制类或无线类的?
-----------------------------------------------------------------------
目前主要还是针对信号类的应用,以后会陆续开发控制类、无线类和电源类等模块。

出0入0汤圆

发表于 2010-8-13 10:22:29 | 显示全部楼层
回复【132楼】yangwei010
回复【123楼】navalguy2  
--------------------------------------------------------------
楼主,请教一下,一般这个脉宽调制用多少频率的,我最近在做这个题训练,所以请教前辈了!
-----------------------------------------------------------------------

我们自己搭建的低通滤波器是10K的,也可以改频率。

10K低通模拟滤波器 (原文件名:10K低通模拟滤波器.JPG)

出0入0汤圆

发表于 2010-8-14 13:03:57 | 显示全部楼层
回复【135楼】sophy_heu 蒋碧涵

回复【130楼】navalguy2  
-----------------------------------------------------------------------
谢楼主指导~
今晚题目刚刚出来……要求不许用dac芯片,或微处理器的dac功能?这该怎么设计啊?
-----------------------------------------------------------------------

可能要自己搭一个DAC电路吧,好象可以用“PWM+低通滤波”来实现DAC的功能。

出0入0汤圆

发表于 2010-8-14 13:08:03 | 显示全部楼层
回复【136楼】sophy_heu 蒋碧涵
回复【134楼】navalguy2  
-----------------------------------------------------------------------
麻烦请教一个问题这个低通滤波器是几阶的?能否有电路图?谢谢~
-----------------------------------------------------------------------

三阶的,电感是每个都是1mH的,电容每个都是0.1uf。

出0入0汤圆

发表于 2010-8-15 22:07:16 | 显示全部楼层
今年黑龙江省赛题目,可以拿来训练一下。

A题:双相信号发生器(本科组)
一、任务
设计、制作一个双相信号发生器,在特定的频率范围内输出正弦波,信号的幅度和相位差可以程控设置,也可以输出矩形波和方波。作品电路中不得使用任何DAC芯片、DDS芯片或微处理器的DAC功能。数字逻辑系统推荐使用EXCD-1 SOC开发板,信号发生器的工作电源可外置。
二、要求
1.基本要求
(1)两路信号均可输出正弦波、方波、矩形波;
(2)两路信号输出最大幅度不低于3V,幅度可调,设置分辨率不低于10bit;
(3)信号频率范围从9.5kHz到10.5kHz可调,步进值不大于100Hz,频率准确度不低于0.1%;
(4)正弦波信号在整个频率设置范围内,波形失真度不大于2%;
(5)两路信号的相位差可以在0—359度内可调,设置分辨力不大于1度;
(6)矩形波占空比在0.1%—99.9%范围内可调,设置分辨率不低于0.1%;
2.发挥部分
(1)两路信号输出最大幅度不低于3.5V,幅度可调,设置分辨率不低于12bit;
(2)正弦信号频率从8kHz到12kHz变化,信号平坦度优于90%;
(3)正弦信号频率从8kHz到12kHz变化,信号失真度不大于2%;
(4)两路正弦信号的相位差可以在0—359.9度内可调,设置分辨力不大于0.1度;
(5)两路均可产生FSK调制波,内调制信号的频率不大于10Hz,上边频为12kHz,下边频8kHz;
(6)两路均可产生ASK调制波,内调制信号的频率不大于10Hz,载波频率为10kHz,调制率为100%;
(7)其他。

这道题的一个难点是不得使用任何DAC芯片,要自己搭建DAC电路。

出0入0汤圆

发表于 2010-8-15 22:12:20 | 显示全部楼层
相比而言,黑龙江省专科组的G题要简单许多。

G题:简易双相信号发生器(专科组)
一、任务
设计、制作一个简易双相信号发生器,在特定的频率范围内输出正弦波,信号的幅度和相位差可以程控设置,也可以输出方波。作品电路中不得使用DDS芯片。数字逻辑系统推荐使用EXCD-1 SOC开发板,信号发生器的工作电源可外置。
二、要求
1.基本要求
(1)两路信号均可输出正弦波、方波;
(2)两路信号输出最大幅度不低于3V,幅度设置垂直分辨率不低于10bit;
(3)信号频率范围为950Hz到1050Hz,频率准确度不低于0.1%;
(4)正弦波信号在整个频率设置范围内,波形失真度小于2%;
(5)两路正弦信号的相位差可以在0—359度内设置,分辨力不低于1度;
2.发挥部分
(1)两路信号输出最大幅度不低于3.5V,幅度设置垂直分辨率不低于12bit;
(2)两路正弦信号的相位差可以在0—359.9度内设置,分辨力不低于0.1度;
(3)正弦信号频率从800Hz到1200Hz变化,信号失真度小于2%;
(4)正弦信号频率从800Hz到1200Hz变化,信号平坦度优于90%;
(5)正弦波均可实现从800Hz到1200Hz范围内的线性扫频功能;
(6)输出信号零点在正负5mV以内;
(7)其他。

这道题只是说不得使用DDS芯片,但可以使用DAC芯片。

出0入0汤圆

发表于 2010-8-21 00:11:38 | 显示全部楼层
回复【147楼】ourdeser 一口粟
看上去很美,速度太低了。  用ram存的波形发生。 频率精度有限。 只能用于竞赛,不实用!
用cordic实现的话,算你牛逼了。
-----------------------------------------------------------------------

竞赛的许多功能都是很实用的,您可以看看历届国赛的技术指标,要是做好了有的都可以当产品卖了,呵呵。

频率精度可以通过增加频率控制字的位数、ROM表的深度等方法来提高。用一般的方法做到0.01Hz也很轻松的。

CORDIC算法已不算什么新方法了,FPGA软件里都有现成的IP核可以调用,用起来也很简单。

出0入0汤圆

发表于 2010-8-23 19:06:55 | 显示全部楼层
回复【147楼】ourdeser 一口粟
看上去很美,速度太低了。  用ram存的波形发生。 频率精度有限。 只能用于竞赛,不实用!
用cordic实现的话,算你牛逼了。
-----------------------------------------------------------------------

下图是用一般查表方法产生的三相正弦波信号,频率是0.01Hz,也没有用到什么CORDIC算法。

(原文件名:0.01Hz.JPG)

出0入0汤圆

发表于 2010-9-4 20:45:30 | 显示全部楼层
回复【151楼】tangjiamin01
回复【150楼】navalguy2  
-----------------------------------------------------------------------
这个界面图片是怎么获得的?是虚拟示波器截图吗?用的是国外的仪器吗?菜单都是英文的。
-----------------------------------------------------------------------

这个是安捷伦四通道高速数字示波器截图,该示波器可以将波形截图保存,并通过U盘拷贝出来。

出0入0汤圆

发表于 2010-9-4 20:51:39 | 显示全部楼层
回复【152楼】yangwei010
回复【150楼】navalguy2  
-----------------------------------------------------------------------
前辈,没办法,我只能回头请教你了,倒腾那个xilinx dds ip核好些天了,但是还是不知道怎么回事!ip核直接调用就行!可我怎么与硬件连接,在开发板端口上输出信号。我刚接触,很多都想不明白!dds ip核里的data[26:0],a[4:0]这些信号我是不是还需要给他一个端口。
-----------------------------------------------------------------------

IP核可以直接调用,要在程序里对它进行例化,IP核的端口需要在程序中PORT MAP。如果要看DDS输出的数字信号可以直接送到开发板的IO口上观察,如果要看DDS输出的模拟信号波形还需要接一个DA模块,将数字信号变成模拟信号。

出0入0汤圆

发表于 2010-9-4 20:57:27 | 显示全部楼层
回复【153楼】cdyy777
波形幅度怎么程控呢?
-----------------------------------------------------------------------

如果是FPGA控制DA模块输出的话,可以在程序里设置一个幅度控制字,将这个幅度控制字与数字信号相乘,再送给DA输出。

出0入0汤圆

发表于 2010-9-11 10:47:24 | 显示全部楼层
山东省电子竞赛元器件清单出来了,有参加的朋友可以讨论一下。

2010年山东省大学生“高教社&XILINX杯”电子设计竞赛

一、本科组使用
主要仪器设备:
数字频率计;标准电感组; 标准电容箱;万用电桥;数字存储示波器;普通频率计;频谱分析仪RIGOL DSA1030;可编程线形直流电源RIGOL DP1308; 数字示波器RIGOL DS6102;三相高精度电压电流信号源;微机保护特性测试仪或电能表;双通道数字示波器;
主要元器件:
EXCD-1可编程片上系统开发板;烟雾收集瓶(代烟雾); DDS集成芯片;烟雾传感器;热释电人体红外传感器;无线收发器;

二、高职高专组使用
主要仪器设备:
低频信号发生器(1Hz~1MHz);高频信号发生器(1MHz~40MHz);光电传感器;角度传感器;隔离变压器(>150W,220V/18V);单相自耦调压器(>200W);低频毫伏表;高频毫伏表;函数发生器;失真度测试仪。
主要元器件:
EXCD-1可编程片上系统开发板;AC SOURCE;5V蓄电池或锂电池;铅酸免维12V、1.2Ah两节蓄电池;小型电动车(最大尺寸300mm×200mm,高度不限);

注:本科组6题,高职高专组4题,每组选择其中的任一题。

出0入0汤圆

发表于 2010-9-15 20:22:18 | 显示全部楼层
山东省电子设计竞赛各元器件的功能及分析

烟雾传感器:
    就是通过监测烟雾的浓度来实现火灾防范的,其内部采用离子式烟雾传感,离子式烟雾传感器是一种技术先进,工作稳定可靠的传感器,被广泛运用到各种消防报警系统中,性能远优于气敏电阻类的火灾报警器。
  它在内外电离室里面有放射源镅241,电离产生的正、负离子,在电场的作用下各自向正负电极移动。在正常的情况下,内外电离室的电流、电压都是稳定的。一旦有烟雾窜逃外电离室。干扰了带电粒子的正常运动,电流,电压就会有所改变,破坏了内外电离室之间的平衡,于是无线发射器发出无线报警信号,通知远方的接收主机,将报警信息传递出去。

DDS集成芯片:
    是频率合成技术全数字化的频率合成器,由相位累加器、波形ROM、D/A转换器和低通滤波器构成。时钟频率给定后,输出信号的频率取决于频率控制字,频率分辨率取决于累加器位数,相位分辨率取决于ROM的地址线位数,幅度量化噪声取决于ROM的数据位字长和D/A转换器位数。
    DDS有如下优点:⑴ 频率分辨率高,输出频点多,可达 个频点(N为相位累加器位数);⑵频率切换速度快,可达us量级;⑶ 频率切换时相位连续;⑷ 可以输出宽带正交信号;⑸ 输出相位噪声低,对参考频率源的相位噪声有改善作用;⑹可以产生任意波形;⑺ 全数字化实现,便于集成,体积小,重量轻,因此八十年代以来各国都在研制和发展各自的DDS产品,如美国QUALCOMM公司的Q2334,Q2220;STANFORD公司的STEL-1175,STEL-1180;AD公司的AD7008,AD9850,AD9854等。这些DDS芯片的时钟频率从几十兆赫兹到几百兆赫兹不等,芯片从一般功能到集成有D/A转换器和正交调制器。

热释电红外传感器:
    主要是由一种高热电系数的材料,如锆钛酸铅系陶瓷、钽酸锂、硫酸三甘钛等制成尺寸为2*1mm的探测元件。在每个探测器内装入一个或两个探测元件,并将两个探测元件以反极性串联,以抑制由于自身温度升高而产生的干扰。由探测元件将探测并接收到的红外辐射转变成微弱的电压信号,经装在探头内的场效应管放大后向外输出。为了提高探测器的探测灵敏度以增大探测距离,一般在探测器的前方装设一个菲涅尔透镜,该透镜用透明塑料制成,将透镜的上、下两部分各分成若干等份,制成一种具有特殊光学系统的透镜,它和放大电路相配合,可将信号放大70分贝以上,这样就可以测出10~20米范围内人的行动。

角度传感器:
    顾名思义,是用来检测角度的。它的身体中有一个孔,可以配合乐高的轴。当连结到RCX上时,轴每转过1/16圈,角度传感器就会计数一次。往一个方向转动时,计数增加,转动方向改变时,计数减少。计数与角度传感器的初始位置有关。当初始化角度传感器时,它的计数值被设置为0,如果需要,你可以用编程把它重新复位。你可以很容易的测出位置和速度。当在机器人身上连接上轮子(或通过齿轮传动来移动机器人)时,可以依据旋转的角度和轮子圆周数来推断机器人移动的距离。然后就可以把距离转换成速度,你也可以用它除以所用时间。

光电传感器:
    是采用光电元件作为检测元件的传感器。它首先把被测量的变化转换成光信号的变化,然后借助光电元件进一步将光信号转换成电信号。光电传感器一般由光源、光学通路和光电元件三部分组成。
    原理:由光通量对光电元件的作用原理不同所制成的光学测控系统是多种多样的,按光电元件(光学测控系统)输出量性质可分二类,即模拟式光电传感器和脉冲(开关)式光电传感器.模拟式光电传感器是将被测量转换成连续变化的光电流,它与被测量间呈单值关系.模拟式光电传感器按被测量(检测目标物体)方法可分为透射(吸收)式,漫反射式,遮光式(光束阻档)三大类.所谓透射式是指被测物体放在光路中,恒光源发出的光能量穿过被测物,部份被吸收后,透射光投射到光电元件上;所谓漫反射式是指恒光源发出的光投射到被测物上,再从被测物体表面反射后投射到光电元件上;所谓遮光式是指当光源发出的光通量经被测物光遮其中一部份,使投射刭光电元件上的光通量改变,改变的程度与被测物体在光路位置有关。

隔离变压器:
    隔离变压器的原理和普通变压器的原理是一样的。都是利用电磁感应原理。隔离变压器一般是指1:1的变压器。由于次级不和地相连。次级任一根线与地之间没有电位差。使用安全。常用作维修电源。

铅酸蓄电池: 
    不需维护,电池在整个使用寿命期间不需要加水补液。 可靠性高、使用寿命长,特殊的密封结构和阻燃外壳,在使用过程中不会产生泄漏电解液的缺陷。 重量、体积比能量高,内阻小,输出功率高。 自放电小,每月的自放电率不大于2%。 满荷电出厂,无流动的电解液,运输安全。

出0入0汤圆

发表于 2010-9-15 20:36:48 | 显示全部楼层
山东省电子设计竞赛赛前预测(纯属个人观点)

信号类预测题1:数字万用表,测量电感、电容、电阻、万用电桥;还可能包括交直流电压、电流、三相电源的相关参数,虽然感觉很基本,但是整合到一块是关键,最重要的是可能自动量程。

信号类预测题2:高频扫频仪,DDS输出高频扫频信号,送入一个被测网络,要求测量被测网络的幅频和相频曲线。曲线的显示可能会用双通道示波器。

后天就要正式比赛了,不知大家准备地怎样,一起努力!

出0入0汤圆

发表于 2010-9-17 11:09:53 | 显示全部楼层
山东题目出来了,题目猜对一部分。原来以为会合在一起,实际出成了两道题。

B题  电阻电容电感测试仪的设计与制作
一、任务
设计、制作一个电阻、电容、电感测试仪和测试所用的信号发生器。(不准用现成仪表改制)。
二、要求
1 .基本要求
( 1 )自制一个测试用的正弦信号发生器,输出信号的频率范围1Hz~1MHz ,峰值Vm≥5V,输出阻抗≤50Ω。输出信号的频率和峰值都连续可调。
( 2 )测量范围:电阻 1 Ω ~ 5M Ω ;电容 10pF ~ 10μF ;电感 10 μ H ~ 100mH 。
( 3 )测量误差:各档均 ≤±5%
( 4 )显示部分可选用LED或LCD,但应能明确表示出项目和量纲,有效数字4位。可调出最近十次的测量结果显示,显示内容应包括测试的时间、元件类型、参数。
2 .发挥部分
( 1 )测量并显示电感的Q值,Q值范围20~300。同时显示测量频率。
( 2 )能通过键盘设定信号频率、测试对象和量程。
( 3 )测量量程手动或自动转换。
( 4 )其它

E题  三相多功能电表
一、任务
设计并制作一个能同时对三相工频交流电(频率波动范围为45-65Hz)的三相电压、三相电流、三相有功功率、三相无功功率、总有功功率、总无功功率、功率因数、电网频率、有功电能和无功电能进行测量的数字式多用表。
二、要求
1. 基本要求
(1)测量功能及技术参数
A.三相额定交流相电压:100V/220V/380V,过载能力:持续1.2倍、瞬时电流10倍/5秒;
B.三相额定交流电流:1A,过载能力:持续1.2倍、瞬时电压2倍/1秒;
C.三相三线或者四线任意选择;
D.实现电压和电流相序检测功能;
(2)准确度
测量精度:1级
(3)功能选择:
A.用按键选择交流电压、交流电流、有功功率、无功功率、频率和功率因数等的测量与显示;
B.有掉电存储功能。
2.发挥部分
A.利用按键实现密码保护功能;
B.标准RS-485通讯来实现远程测量,通信距离100米以上。
C.加入红外通信,通信距离3米以上;
D.其它(例如扩展功能,提高性能等)。

出0入0汤圆

发表于 2010-9-19 13:06:07 | 显示全部楼层
今年山东好几道题目都要用到高速AD模块,来看一下C题,想实现全部功能也是不容易的。

C题   VGA显示的多通道数字示波器
【本科组】
一、任务
   使用指定的FPGA实验平台(含EXCD-1开发板)设计多通道数字示波器,示波器显示使用普通的VGA显示器。
二、要求
1.基本要求
   (1)示波器实时采样频率为10MHz,A/D转换精度为10bits。
   (2)模拟信号输入电压为-2.5V---+2.5V,频率为0-1MHz。
   (3)两路数字信号输入。数字信号低电平为0V,高电平为3.3V,频率为0-1MHz。
(4)显示采用普通的VGA显示器(分辨率640×480即可)。显示方向和普通示波器方向相同(水平方向为时间,垂直方向为幅度),显示时间轴支持1us/div,1ms/div,1s/div。幅度支持10mv/div,100mv/div,1v/div。波形周期误差和幅度误差≤5%。
(5)显示波形稳定,支持实时显示和存储显示。实时显示时可以暂停,存储显示时存储深度为显示器一屏的数据。支持波形的手动存储功能,手动存储的波形掉电不丢失。
   (6)可以单独显示任意一个通道,也可以多通道同时显示,多通道同时显示时不同的通道要用不同的颜色进行区分。
(7)数字信号要求参赛人员自行产生,A路要求占空比为50%,B路要求占空比为25%,并且要求B相比A相延时45°。两路数字信号频率相同并且可以100KHz步进。要留出自行产生的数字信号的测试点。
(8)模拟信号支持任意电平触发,数字信号支持上升沿和下降沿触发。
2.发挥部分
   (1)自行产生的A路数字信号与与输入模拟信号同频同相。B路和A路的关系仍然保持不变。
   (2)存储深度增加一倍,存储显示时支持图像的左移和右移。
   (3)存储显示支持正延时,负延时。正负延时点数可以人为设定,设定方式步进和手动输入任选其一。
   (4)显示器上有中文提示时间刻度,幅度刻度,通道对应的颜色。
   (5)显示界面尽量贴近普通示波器。
   (6)其他。
  三、说明
1.VGA显示器使用普通的PC显示器即可,可以是液晶显示器,也可以是CRT显示器,无需自制。
2.本题示波器的存储显示功能通过检测按键的抖动波形进行测试,按键电路自制。
3.设计报告正文中应包括系统总体框图、核心电路原理图、主要流程图、主要的测试结果。完整的电路原理图、重要的源程序和完整的测试结果可用附件给出。

这道题的难点是高速AD采集控制、存储和VGA显示。尤其是VGA显示,以前练的比较少,想在屏幕任意位置打点还是有技巧的。下面是在EXCD-1开发板上的简单实现效果,配合的就是双路高速AD模块(http://item.taobao.com/item.htm?id=4148383568)。


VGA显示的多通道数字示波器 (原文件名:VGA显示的多通道数字示波器.JPG)

出0入0汤圆

发表于 2010-9-23 15:15:24 | 显示全部楼层
回复【176楼】shanshan
回复【175楼】shanshan  
-----------------------------------------------------------------------
请问打点是怎么实现的呢?谢谢了

-----------------------------------------------------------------------

AD采集的数据送往FPGA内部RAM存储,等RAM存满后,将数据送往VGA显示。VGA是逐行扫描的,注意每行扫描的地址要和RAM的存储地址保持同步。

出0入0汤圆

发表于 2010-10-16 20:26:41 | 显示全部楼层
回复【178楼】tangjiamin01
-----------------------------------------------------------------------

请问楼主是用51内核还是microbalze软核来实现的?刷新速度能保证吗?
-----------------------------------------------------------------------

用VHDL代码写的,VGA界面时序稍有些复杂。用软核应该做VGA显示界面应该会简单一些。不过VHDL代码移植性会很好。

出0入0汤圆

发表于 2010-10-16 20:29:46 | 显示全部楼层
回复【179楼】doramin
今年我们省的题有两个必须用xilinx的fpga
-----------------------------------------------------------------------

是的,A题(瞬态响应测试仪)和C题(VGA显示的多通道数字示波器)必须用EXCD-1开发板。

出0入0汤圆

发表于 2010-10-16 20:31:37 | 显示全部楼层
回复【181楼】guxingganyue
-----------------------------------------------------------------------
请问楼主,按您的程序下进去界面有了,但程序好像不运行一样,按什么键都不起作用?请问这是为什么?
谢谢
-----------------------------------------------------------------------

是在EXCD-1开发板跑吗?程序运行没问题,键盘好用。

出0入0汤圆

发表于 2010-10-16 20:44:31 | 显示全部楼层
回复【183楼】heibaogame 黑豹
楼主能不能把正选波发生器的那个资料贴出来呢?
-----------------------------------------------------------------------

正弦波发生器主要是DDS技术,通过频率字来控制输出的信号频率。下面是一段简单的FPGA+DA输出正弦波的测试程序,用到了SIN表IP核,完整的代码还要加上矩阵键盘和LCD显示等功能。

--*********************************************************************   
--* 标题: 正弦信号发生器简单测试程序
--* 文件: topdesign.vhd  
--* 作者: SJ      
--* 日期: 2009.09.22
--* 修改: 2009.12.20   
--* 软件: Xilinx ISE 7.1 SP4  
--* 芯片: Xilinx Spartan2 FPGA (XC2S200-PQ208)   
--* 说明: 外接40M晶振作为时钟,通过查找FPGA内部SIN表,送给DA,输出正弦波。频率可以任意设置,输出频率范围:1Hz-10MHz。
--*********************************************************************
library IEEE;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
------------------------------------------------
--实体
entity topdesign is
    port (
          --时钟信号
          clk:in STD_LOGIC  ;  --系统主时钟,可直接接晶振(40M)
          da2_clk:out std_logic;  --给DA的时钟
          da2_d:out std_logic_vector(13 downto 0)  --给DA的数据
    );
end topdesign;
------------------------------------------------
--构造体
architecture topdesign_arch of topdesign is
------------------------------------------------
signal sin_in          : std_logic_vector(11 downto 0);
signal sin_out         : std_logic_vector(15 downto 0);
------------------------
signal dds_phase_add  : std_logic_vector(31 downto 0);
signal dds_out        : std_logic_vector(15 downto 0);
signal dds_freq       : std_logic_vector(31 downto 0);

signal dds_freq_value     : integer range 0 to  2147483647;
------------------------------------------------
component dds_sin IS         --调用IP核,查表产生波形
        port (
        THETA   : IN std_logic_VECTOR(11 downto 0);--频率控制字输入
        CLK     : IN std_logic;        --时钟输入
        SINE    : OUT std_logic_VECTOR(15 downto 0)); --正弦表查表输出
END component;
------------------------------------------------
--主程序
begin
-------------------sine_map---------------------
u0:dds_sin port map(
            THETA => sin_in,
            CLK   => clk,
            SINE  => sin_out);
------------------------------------------------
dds_freq_value<=1000000;    --设置输出频率(单位Hz)
dds_freq <= conv_std_logic_vector(dds_freq_value*107,32);  --将设置的十进制数转化为二进制码(2^32*1Hz/40M=107.37,表示1Hz对应的频率码)
------------------------
da2_clk <=clk;  --给DA的时钟
------------------------------------------------
process(clk)       --信号处理进程
  begin
    if clk'event and clk='1' then
       dds_phase_add<=dds_phase_add+dds_freq;
    end if;
       sin_in <= dds_phase_add(31 downto 20);
       dds_out <=sin_out;
       da2_d <=(not dds_out(15)) & dds_out(14 downto 2);
end process;
------------------------------------------------
end topdesign_arch;

出0入0汤圆

发表于 2010-10-16 20:54:10 | 显示全部楼层
回复【184楼】heibaogame 黑豹
如何在fpga里跑51程序啊??
-----------------------------------------------------------------------
在82楼已有介绍。再贴一遍好了。

目前网上公开发布的几个比较典型的805l IP核,如DW8051核.OpenCore组织的0C8051核及T51核,MC805l核等。这些IP核都是采用HDL语言描述的软MCU内核,其与工艺无关,能够在多种FPGA上进行逻辑综合及实现。
    我使用的是Oregano公司开发的1.5版本免费的MC8051 IP核,它具有如下特点:1)完全同步的设计;2)指令集与标准的8051 MCU完全兼容;3)采用优化的内核结构,指令周期较原MCS-51系列大幅提高,每一个指令仅占用1~4个时钟周期;4)256字节内部的RAM,最大可提供64KB的ROM和64KB的RAM资源。
    在FPGA中嵌入的8051内核,具有与51单片机类似的存储器结构,这些存储器的设计均使用FPGA芯片中的Block RAM资源,通过Xilinx提供的Core Generator工具实现。Core Generator是一个完整的设计工具,用来生成设计所需要的IP核,它既可以单独运行,也可以在ISE设计工具内执行。在设计中,要用到单片机中的三种存储区,分别为DATA,XDATA和CODE,这些存储区都是通过配置RAM & ROM中的单端口Block RAM(Single-Port Block RAM)IP核实现的。
    MC8051 IP核的设计过程共包括如下5个步骤:
1)用Keil 编译8051的代码。
2)将生成的hex文件用hex->bin工具转成bin文件;
3)用bin->coe工具将hex文件转成coe文件;
4)在core generator 生成的ROM中指明coe文件的位置;
5)编译、下载到FPGA芯片。

出0入0汤圆

发表于 2010-10-21 22:56:55 | 显示全部楼层
回复【191楼】haojianppp
回复【186楼】navalguy2  
-----------------------------------------------------------------------
楼主好强大啊,能不能把vga实现波形显示的vhdl程序发出来看看啊,感觉好新鲜啊,想了解一下
-----------------------------------------------------------------------

VGA显示的时序控制可以参考EXCD板上的VGA显示和俄罗斯方块例程。

VGA例程ourdev_591840J8IBVB.rar(文件大小:4.89M) (原文件名:VGA例程.rar)

出0入0汤圆

发表于 2010-10-30 20:29:53 | 显示全部楼层
回复【198楼】tangjiamin01
回复【193楼】navalguy2  
回复【191楼】haojianppp   
回复【186楼】navalguy2   
-----------------------------------------------------------------------
非常感谢楼主的热心解答,看了一下俄罗斯方块游戏程序,,是纯VHDL代码编的,感觉程序很复杂,没怎么看懂。
-----------------------------------------------------------------------

这个程序好像是一个老外编写的,代码写得很规范,值得好好学习。

出0入0汤圆

发表于 2010-11-19 22:34:23 | 显示全部楼层
回复【204楼】lisi1964
-----------------------------------------------------------------------
你有xilinx xc3s1400a or altera ep3c25 的核心板吗?或比这容量大的核心板?我有个实验急需这样的板。
-----------------------------------------------------------------------

目前还没有开发xilinx xc3s1400a or altera ep3c25 FPGA核心板,手头有100万门的XC3S1000核心板。


XC3S1000核心板 (原文件名:XC3S1000核心板.jpg)

出0入0汤圆

发表于 2010-11-19 22:53:29 | 显示全部楼层
回复【205楼】All-Star
回复【174楼】navalguy2  
-----------------------------------------------------------------------
楼主 您好,一直在关注你的帖子,在你的帮助下对fpga有了一定的了解。不知道您这个vga显示代码怎么写的,是用micropraze软核写的吗?有具体的源代码吗?因为11月13号,天津赛区比赛出的题是要求用上显示器的。而且比赛时间就8小时,能帮我分析一下题吗
-----------------------------------------------------------------------

VGA代码是用VHDL代码写的,用Microblae软核控制也可以的。不知道天津比赛什么题目,是不是已经比赛完了,贴出来大家讨论一下吧。

出0入0汤圆

发表于 2010-11-28 20:15:19 | 显示全部楼层
回复【209楼】engely 爱诗
支持
请发一下,dds_sin的vhdl程序文件
-----------------------------------------------------------------------

dds_sin是调用SIN表IP核自动生成的。

出0入0汤圆

发表于 2010-11-28 20:19:17 | 显示全部楼层
回复【212楼】Franks2599
回复【3楼】navalguy  
-----------------------------------------------------------------------
顶 我用ep3c25q240c8  只支持3.3v 擦  弄个电机 接5v电源  给个脉冲都不转  非得接2.5v电源才行
-----------------------------------------------------------------------

是的,当时选择XC2S200是因为SPARTAN II系列FPGA的I/O口兼容3.3V/5.0V电平,便于和一些5.0V电平电路直接连接,SPARTAN II系列FPGA是5V容忍的。

出0入0汤圆

发表于 2010-12-8 17:50:05 | 显示全部楼层
秀一下最近调试的DDS(AD9854)模块,这款DDS模块的高频性能还是很不错的,配合高速运放,可以输出较大幅度的高频模拟信号,运放的放大倍数可调。
电子竞赛模块—高速DDS(AD9854)扩展模块板,主要由一片2路模拟输出的AD9854ASQ(最高时钟频率可达300MHz,双路正交输出)DDS芯片,以及两片AD8009高速运算放大器组成,可以满足高速信号产生的要求,借助FPGA核心模块板或单片机板,通过模式控制和频率字控制实时产生点频、扫频、ASK、FSK等各种形式的模拟信号。

DDS(ad9854)模块板+FPGA板联调 (原文件名:DDS(ad9854)模块板+FPGA板联调.JPG)
DDS输出波形(10MHz,Vpp=5.04V,双路正交):

DDS输出波形(10M) (原文件名:DDS输出波形(10M).JPG)
DDS输出波形(20MHz,Vpp=4.96V,双路正交):

DDS输出波形(20M) (原文件名:DDS输出波形(20M).JPG)
DDS输出波形(40MHz,Vpp=4.80V,双路正交):

DDS输出波形(40M) (原文件名:DDS输出波形(40M).JPG)
DDS输出波形(80MHz,Vpp=2.96V,双路正交):

DDS输出波形(80M) (原文件名:DDS输出波形(80M).JPG)

出0入0汤圆

发表于 2010-12-11 22:48:56 | 显示全部楼层
回复【221楼】gamep
请教楼主  
23楼  中  双通道数据采集器上位机程序
这个能否共享
-----------------------------------------------------------------------

下面这段代码是一个比较初级的例程,包括USB驱动调用、采集和显示功能,希望能有所帮助。
点击此处下载 ourdev_604060PYUX1O.rar(文件大小:6K) (原文件名:USBHOSTSAMPLE.rar)

出0入0汤圆

发表于 2010-12-22 09:27:50 | 显示全部楼层
回复【226楼】weeren 倘余清
回复【217楼】navalguy2  
-----------------------------------------------------------------------
3a也可以接受5v的ttl电平的,我试过,没问题。
-----------------------------------------------------------------------

据我的了解,Spartan3和3E都不是5V容忍的。Spartan3A和3AN没用过,查了一下手册,上面说Spartan3A和3AN也不是5V容忍的,若要和5V器件相连的话,需要串接限流电阻。

(原文件名:spartan-3A 5V tolerant.JPG)

出0入0汤圆

发表于 2010-12-29 12:33:58 | 显示全部楼层
回复【231楼】tangjiamin01
-----------------------------------------------------------------------
dds(ad9854)模块输出的双路正弦波是正交的吗?可以独立控制每路输出的波形吗?
-----------------------------------------------------------------------

是正交的,相位差90度。可通过模式控制和频率字控制实时产生点频、扫频、ASK、FSK等各种形式的模拟信号,但每路不是独立的。

出0入0汤圆

发表于 2011-1-7 12:27:14 | 显示全部楼层
回复【236楼】tangjiamin01
-----------------------------------------------------------------------   
楼主强大,再问一下,这个dds(ad9854)模块后面有放大电路吗?幅度可以放大到多少伏?
-----------------------------------------------------------------------

有AD8009高速运放电路, 板子上有给AD8009运放供电的+5V和-5V稳压电源,幅度可以通过调节运放电路的放大倍数控制,最大可以放大到Vpp=10V。

出0入0汤圆

发表于 2011-1-18 11:45:09 | 显示全部楼层
由于高校有许多老师和学生一直在用ALTERA芯片,因此一直有许多网友询问能否开发出ALTERA电子竞赛套件。经过大半年的努力,ALTERA电子竞赛套件也已经开发出来了。
目前,FPGA电子竞赛套件的所有竞赛实例已经同时在XILINX和ALTERA平台上成功实现。两个版本的技术指标基本相同。
FPGA电子竞赛套件(XILINX高级版)相关介绍:http://item.taobao.com/item.htm?id=4146534554。
FPGA电子竞赛套件(ALTERA高级版)相关介绍:http://item.taobao.com/item.htm?id=9082930230。

出0入0汤圆

发表于 2011-1-24 13:26:16 | 显示全部楼层
Altera套件的FPGA核心模块板和以前做的xilinx套件的FPGA核心模块板管脚都是兼容的,所以扩展底板都是可以共用的。 Altera套件核心模块板采用的是Altera公司Cyclone系列FPGA芯片(EP1C6Q240或EP1C12Q240)。

cyclone核心板 (原文件名:cyclone核心板.JPG)
下面是两款套件实现“简易数字频率计(1997年B题)”功能的对比效果图:

简易数字频率计(XILINX套件) (原文件名:简易数字频率计(XILINX套件).JPG)

简易数字频率计(ALTERA套件) (原文件名:简易数字频率计(ALTERA套件).JPG)
从实际指标效果来看,对于相同的测频算法,XILINX套件所能测得的最高信号频率比ALTERA套件略好一些。

出0入0汤圆

发表于 2011-2-4 16:07:52 | 显示全部楼层
回复【242楼】djp1
-----------------------------------------------------------------------
试了下actel的芯片,发现软件很人性化,下载不太方便有点慢,能直接下载ip核,8051什么的可以直接下下来,不知好用不好用  
总体感觉那个软件比x和a上手都容易...
-----------------------------------------------------------------------

爱特公司 (Actel Corporation)是全球四大知名的FPGA厂商之一。ACTEL公司成立于1985年,位于美国纽约。之前的20多年里,ACTEL一直效力于美国_军工和航空领域,并禁止对外出售。国内一些特殊领域的企业都是采用其它途径购买军工级型号。目前ACTEL开始逐渐转向民用和商用,除了反熔丝系列外,还推出可重复擦除的ProASIC3系列。其独特的Flash架构的FPGA在单芯片、低功耗、安全性、可靠性、模数混合方面有着卓越的表现。
俺没用过ACTEL的FPGA芯片,目前国内XILINX和ALTERA的用户还是占大多数。不过国内市场已有不少公司开始推广ACTEL的FPGA技术,例如广州周立功的Fusion StartKit FPGA开发平台,就是基于Actel 公司的Fusion混合信号FPGA而设计的开发平台,在第三代Flash架构的FPGA——ProASIC3基础上,将模拟的AD、RC振荡器、模拟I/O、RTC等融入到数字的FPGA中,为实现真正的SOC提供特有的解决方案。

出0入0汤圆

发表于 2011-2-11 18:01:06 | 显示全部楼层
回复【4楼】DanielDeng
那些sma的射频插座接的什么信号?这种插座多少钱一个?
-----------------------------------------------------------------------

回复【5楼】ngzhang 兽哥
5毛一个。
-----------------------------------------------------------------------

回复【244楼】bg2bkk  
-----------------------------------------------------------------------
2.5元一个的抑郁而过
-----------------------------------------------------------------------

SMA射频插座比较贵,我买的是2元1个,5毛1个不知是在哪买的?

出0入0汤圆

发表于 2011-2-27 12:57:47 | 显示全部楼层
目前,“简易数字频率计、数字有效值电压表、移相信号发生器、数字相位测量仪、简易逻辑分析仪、正弦信号发生器、数字存储示波器、程控滤波器”等竞赛实例已经同时在XILINX和ALTERA平台上成功实现。正在考虑增加一些新的竞赛实例,不知大家有什么需求和建议。大家也可以把自己的竞赛作品拿出来秀一下。

出0入0汤圆

发表于 2011-3-11 13:51:46 | 显示全部楼层
回复【251楼】timthorpe
2007的竞赛题音频信号分析仪楼主可以试一下啊 用个fft之类的对您来说应该是小菜了 期待中
-----------------------------------------------------------------------

FFT实现的频谱分析仪我们也有调过,目前正在完善中。
下图为一个3M的正弦信号的频谱。其中示波器屏幕最左侧为0Hz,最右侧为10MHz。

频谱分析仪 (原文件名:频谱分析仪.JPG)
大家也可以将自己的调试结果拿出来秀一下。

出0入0汤圆

发表于 2011-3-12 09:14:00 | 显示全部楼层
回复【255楼】bityoung   
-----------------------------------------------------------------------
楼主,你好!我最近在调xilinx的大学计划的一款板卡——xupv5-lx110t;
正在试图调通fpga和ddr2的接口,以使fpga可以对ddr2进行简单的读写操作,遇到些问题,如下:
我是这么做的:1.新建工程;2.在该工程下用core generator生成存储器接口的ip核;2.在该工程下新建一个源文件,然后在该源文件中将ip核的源文件声明为元件;并例化元件。
不知道思路有没有错误?
而且我始终不能将ip核的源文件加在新建的源文件的目录下,不知道该怎么办?请指教!!!!!
-----------------------------------------------------------------------

添加IP核的步骤没错,可以检查一下声明并例化元件的代码,注意元件和IP核的名字要保持一致。

出0入0汤圆

发表于 2011-3-19 22:12:54 | 显示全部楼层
回复【258楼】taotaoa
楼主,能不能分享一下你的单片机ip核啊?谢谢
-----------------------------------------------------------------------

我使用的是Oregano公司开发的1.5版本免费的MC8051 IP核(http://www.oregano.at/eng/8051.html)。

出0入0汤圆

发表于 2011-3-31 22:57:30 | 显示全部楼层
回复【264楼】Friendz
做开发板的一个好习惯是所有io均通过排阻引出。 特别是给学生用的电路板。可大大减少冒烟的可能。
-----------------------------------------------------------------------

是的,通过排阻可以起到限流的作用,防止电平不匹配而造成器件的损坏。

出0入0汤圆

发表于 2011-4-8 12:00:35 | 显示全部楼层
回复【263楼】tangjiamin01
回复【254楼】navalguy2  
-----------------------------------------------------------------------  
fft实现的频谱分析仪我们也有调过,目前正在完善中。  
下图为一个3m的正弦信号的频谱。其中示波器屏幕最左侧为0hz,最右侧为10mhz。  
-----------------------------------------------------------------------
楼主能介绍一下频谱分析仪的开发思路吗?
-----------------------------------------------------------------------

大概的开发思路是这样的:模拟信号首先由A/D芯片转换为数字信号后送给FPGA,在FPGA内调用FFT IP核,完成FFT处理后,再送给D/A芯片转换为模拟信号给示波器观察。主要是学会如何调用FFT IP核,可以查看FFT IP核的相关帮助文档。

出0入0汤圆

发表于 2011-4-12 23:27:58 | 显示全部楼层
回复【267楼】timthorpe
很想学习一下在quartus ii里用8051的ip核,请楼主不吝赐教了,非常感激 能给一个简单的工程吗 学习一下;
-----------------------------------------------------------------------

我使用的是Oregano公司开发的1.5版本免费的MC8051 IP核(http://www.oregano.at/eng/8051.html),可以下载下来自己建一个工程。其中ROM和RAM需要自己手动添加IP核。

出0入0汤圆

发表于 2011-4-22 13:14:00 | 显示全部楼层
回复【271楼】deweyled 杜威
楼主该图中的稳压芯片是1117吗?最近在画spartan3e的板子。电源部分不知道该如何处理。楼主指教下。谢谢
-----------------------------------------------------------------------

是的,板上有三块AS1117电源芯片分别固定输出3.3V、2.5V、1.2V电压。spartan3e的芯片供电情况和spartan3芯片差不多,可以查看芯片手册上的指标,看电源最大输出电流是否满足要求。

出0入0汤圆

发表于 2011-5-1 12:04:51 | 显示全部楼层
谢谢大家!

推出这款套件的目的主要是想让大家在这样一个通用模块化的平台下,学习交流,共同进步。以这个平台为基础,通过不断更新和完善,提高大家的研究起点。简单点说,只是一个抛砖引玉的作用,期待大家能有更好的作品和想法。

FPGA电子竞赛技术群(超级群):113522056
欢迎大家来交流!

出0入0汤圆

发表于 2011-5-22 18:31:59 | 显示全部楼层
回复【285楼】pontiff
已经属于淘汰的东西了
-----------------------------------------------------------------------

这位朋友是不是指套件里的芯片型号比较老,这个确实是的。以前也有网友这个说过,我再解释一下,当时选这款芯片的时候,主要是考虑到两点:一是这款芯片兼容5V TTL电平,可以和一些5V的器件直接相连;二是这款芯片的IO口比较多,至少有140个可用。而PQ封装的其它芯片,如SP3-E系列,很多IO口其实只能作为Input(输入)来用的,是单向的,不是真正意义上的双向IO口。

对于电子竞赛来说,问题不在于芯片型号是不是最新的,不会因为你用了Stratix或者Virtex就给你加分。最重要的还是实战,做出满足题目要求的作品出来。

出0入0汤圆

发表于 2011-6-1 20:29:32 | 显示全部楼层
回复【288楼】tangjiamin01
mark,楼主设计的最小系统板上的可用的io有140个,确实挺多的,除了接套件的ad、da和io板外,还可以接自己的板子,可扩展性不错。考虑最近购买一套,并用这个来备战今年的国赛。
-----------------------------------------------------------------------

谢谢这位朋友,我们会不断完善竞赛套件的。最近在开发FPGA竞赛实验平台,实验更加方便,功能更加强大,敬请大家关注。

出0入0汤圆

发表于 2011-6-12 15:12:50 | 显示全部楼层
最近正在开发电子竞赛专用实验箱。实验箱是在竞赛套件的基础上进行升级,使用更加方便,实例和文档更加丰富。下面是实验箱照片(手机拍的,画面效果一般):


FPGA竞赛专用实验箱 (原文件名:FPGA竞赛专用实验箱.jpg)

FPGA竞赛专用实验箱(通电) (原文件名:FPGA竞赛专用实验箱(通电).jpg)
目前整个实验箱硬件平台已经测试通过,正在进行文档的整理和新增例程的开发工作。预计暑假前完工,敬请关注!

出0入0汤圆

发表于 2011-6-14 22:19:41 | 显示全部楼层
秀一下最新开发的一款单片机&外设扩展模块板。
电子竞赛模块—单片机&外设扩展模块板:由STC89C5x系列单片机、VGA、RS-232串口、PS/2和3.2寸TFT彩屏液晶扩展接口组成。既可以作为单片机最小系统板来使用,也可以作为扩展模块接在FPGA扩展底板上由FPGA来控制。

单片机&外设扩展模块板 (原文件名:单片机&外设扩展模块板.jpg)

出0入0汤圆

发表于 2011-6-14 22:32:40 | 显示全部楼层
下面是单片机&外设扩展模块板插在FPGA竞赛实验箱上的效果:

FPGA竞赛专用实验箱2 (原文件名:FPGA竞赛专用实验箱2.jpg)
下面是通电后的效果:

FPGA竞赛专用实验箱2(通电). (原文件名:FPGA竞赛专用实验箱2(通电).jpg)

出0入0汤圆

发表于 2011-6-15 19:08:11 | 显示全部楼层
回复【290楼】326057088 菜菜
楼主,我是大三的学生,最近在用xilinx驱动tft,但是在跑51内核的时候,初始化rom,是不是只要导入coe文件即可,但文件显示是红色,不能进入next,点击show就卡了,电脑cpu一直是100%运行,是程序太大了还是其他什么原因啊,初始化rom应该是怎么操作的啊?。。。。。。。。。。。。。。。
-----------------------------------------------------------------------

可能是COE文件比较大,这种情况不同于FIR滤波器的系数查看,一般不用点击show查看,导入文件后直接进行下一步就可以了。

出0入0汤圆

发表于 2011-6-15 19:13:11 | 显示全部楼层
回复【291楼】326057088 菜菜
楼主,我在使用51内核的时候遇见了一个很奇怪的问题,在rom例化时addr的宽度为16,我设的也是16,但是在top文件声明却报错,ise指出addr为10位,不知道是为什么,请指导小弟啊!。。。。。

rom例化截图 (原文件名:rom例化.jpg)


(原文件名:rom核申明.jpg)
引用图片

<center>
<font colo......
-----------------------------------------------------------------------

检查一下你的ROM IP核的大小,不知道你用的是哪款FPGA芯片,一般的FPGA芯片的ROM深度开不到64K,看编译提示addr为10位,可能你设的ROM的深度只有2^10=1k大小。

出0入0汤圆

发表于 2011-6-28 20:24:51 | 显示全部楼层
来张实验箱的全家福照片:

FPGA实验箱(全家福) (原文件名:FPGA实验箱.jpg)
实验箱采用底板+模块板的架构。实验箱上专门开辟用户自定义功能区,上面可以插拔单片机&外设扩展模块板、DA模块、DDS模块板、三相大功率DA模块板、多路输出线性电源模块板、面包板等模块。阵容豪华,功能强大。

出0入0汤圆

发表于 2011-7-5 22:31:15 | 显示全部楼层
下面是最近做的xilinx USB下载线,配实验箱很方便,当然也可以配合一般的xilinx开发板使用。

XILINX USB下载线 (原文件名:XILINX USB下载线.jpg)
更多详细介绍请见:http://item.taobao.com/item.htm?id=10863150624

出0入0汤圆

发表于 2011-7-10 23:48:35 | 显示全部楼层
最近正在加紧实验箱的竞赛实例移植和开发,下面是数字频率计在实验箱上的运行效果:

数字频率计 (原文件名:数字频率计.jpg)

出0入0汤圆

发表于 2011-7-16 21:30:38 | 显示全部楼层
【推荐】FPGA竞赛交流超级群(500人)
讨论和交流大学生电子设计竞赛经验!
FPGA电子竞赛技术群(超级群):113522056
备战2011全国大学生电子竞赛,大家一起加油努力!

出0入0汤圆

发表于 2011-7-27 22:32:12 | 显示全部楼层
07.27专家组组长详谈2011国赛基本要求及赛题特点
    QQ群里传过来的消息,供大家备赛参考:
    全国大学生电子设计竞赛专家组组长,北京理工大学罗伟雄教授在会上详细谈了今年的全国大学生电子设计竞赛的基本要求及赛题特点。其相关精神,总结如下:
    1、赛题数量减少,大概5题;难度有所降低,题型突出基础知识(模拟电路、数字电路,和单片机应用)的综合应用能力的考核,降低专业特性和专业特色,降低直接应用性特性(也是降低专业特色的一个方面)。原因:今年要回归电子设计竞赛的初衷,即突出基础知识的综合应用能力,主要包括模拟电路、数字电路,和单片机应用。而不会像2009年及之前的专门为特定专业出题(如自动化控制,通信等)。所以,今年的题型更具一般性,更能兼顾各专业的基础知识的教学,即能保证任何相关专业的学生都能作的赛题。过去的恶性循环是,赛题越来越难,原因是赛题一旦公布,许多学校就有大量的后续团队,甚至各大相关的公司都介入竞赛,帮助完成赛题,结果导致下届竞赛不得不加大赛题的难度,结果却脱离了竞赛的初衷。因此今年的题型和难度都有所变化,但为了滤除可能的作弊,将于9月13号再对得奖队搞一个全封闭型竞赛。
    2、由于有飞思卡尔竞赛,及降低专业属性,故小车控制类题不会有(此偏工业控制),无线通信类题不会有(此题偏通信)。但电路匹配网络、电源设计类题可能有。
    3、没有纯数字或纯模拟的题;
    4、赛题更多偏向于硬件设计,与单片机相关的软件设计内容一定有,但不会多,量也不会大。因为软件设计量太大,容易导致作弊,或外部协作力量的介入,对竞赛评判不利,所以诸如DSP、嵌入式系统等太偏软件设计的赛题不会有;
    5、新技术新器件仍然鼓励使用,但并不额外加分。与数字电路相关的设计可利用FPGA/CPLD及其相关小系统上完成;
    6、SOPC技术的应用只突出适用性。即赛题不会提出用什么技术,只要能按要求完成,任何技术都可以。罗教授特别提到,SOPC实际上就是一个FPGA加一个内部的单片机。
    7、今年的模拟电路设计肯定包含运放的不同类型的应用,强调这是基础。
    8、在评审中,今年仍然可能考虑系统的性价比和功耗。所以如果直接将接口完备的系统板(如DE2板)用到竞赛中有可能扣分。
    9、赛题将突出电子设计的特点,而降低其他能力的考核。如与机械加工,道路加工,转轴加工、吊瓶、木板选择等等。因为这些能力不应该在竞赛的考核范围内,否则就不叫电子设计竞赛了。所以根据这个精神,今年的赛题,纯电子类,纯基础知识应用类题一定较多。
    10、为了突出电子技术的硬件设计,今年起,将往年50分的论文分数降低到20至30分,增加电路实物设计的分数。即今年的评分更加注重硬件系统的技术指标。硬件不过关,论文再好也不会有什么分。

    9月13日的全封闭电子设计赛相关情况和要求:
    参加竞赛对象是已经获全国一等二等奖的参赛队。全封闭8个多小时,完成一个难度不大的数字模拟小系统设计项目。FPGA和单片机最小系统需自备(针对数字电路的设计),模拟电路由此次日本赞助公司提供一片专用芯片,提供详细的使用说明。此专用芯片最大的可能是一片多运放的器件,但也有可能是ADC或DAC。因此还要准备通用板,好焊接此器件(建议现在就上网搜索此公司的相关器件产品)。对于评审,要求此系统的焊接器件要符合规范,器件引脚和连线要尽可能短,减少干扰可能。

出0入0汤圆

发表于 2011-8-16 08:50:15 | 显示全部楼层
回复【330楼】lanzhoudaxue
楼主程控滤波是用什么芯片做的啊
-----------------------------------------------------------------------

在FPGA内用数字滤波的方法实现。滤波器的系数事先设计好,预存在FPGA内部,根据不同的指标来调用。

出0入0汤圆

发表于 2011-8-25 13:12:19 | 显示全部楼层
元器件清单出来了:

2011年全国大学生电子设计竞赛基本仪器和主要元器件清单

1、基本仪器清单
20MHz普通示波器(双通道,外触发输入,有X轴输入)
60MHz双通道数字示波器
低频信号发生器(1Hz~1MHz)
标准高频信号发生器(1MHz~30MHz,可输出1mV小信号)
函数发生器(10 MHz,DDS)
低频毫伏表
高频毫伏表
100 MHz频率计
失真度测试仪
直流稳压电源
秒表
量角器
100℃温度计
四位半数字万用表
单片机开发系统及PLD开发系统
扫频仪(20MHz)

2、主要元器件清单
单片机最小系统板
A/D、D/A转换器
运算放大器、电压比较器
可编程逻辑器件及其下载板
显示器件
小型电动车
小型继电器
小型步进电机
漆包线
电子开关
高频磁芯
滑线变阻器(100Ω/2A、10Ω/5A)
变容二极管(2pF~30pF)
光电传感器
角度传感器
超声传感器
大功率电阻(2Ω/36W、10Ω/36W等)
无线收发模块
红外收发管
小型直流风扇

大家可以一起分析分析,猜猜可能的出题方向。

出0入0汤圆

发表于 2011-8-25 15:06:25 | 显示全部楼层
先抛砖引玉下(纯属个人观点,仅供参考):
1、控制类:小车今年绝对有,很多人可以放心了。光电传感器,角度传感器,超声传感器,秒表,量角器,小车专用的东西,今年的车很难了,自求多福吧。
2、电源类:今年出现了电子开关、高频磁芯,搞不好会出开关电源或做高频变压器的题目。滑动变阻器、大功率电阻和风扇(散热)可能用来测试指标。
3、高频类:变容二极管的出现,可能会涉及类似收音机调频调谐方面的应用。
4、放大器类:注意仪器清单多出1mv输出要求,可能要求对1mv进行放大至少到1V(1000倍,60db),所以要准备个好的放大电路。
5、仪器仪表类:目前还看不出有明显的提示,有不少人猜测会是失真度测试仪或高频扫频仪。

出0入0汤圆

发表于 2011-10-13 21:23:29 | 显示全部楼层
回复【344楼】tao_tao 靜默
-----------------------------------------------------------------------
请问用da产生扫频信号后级要加滤波不?信号精度能否保证?我用dds芯片产生的,用单片机控制的,跟楼主比差远了。。。。。
-----------------------------------------------------------------------

DA输出信号后级没有加滤波,可以根据具体要求另外再加。DA芯片是14位的,精度应该能保证。DDS芯片的高频指标应该比FPGA+DA要高些,FPGA+DA一般可稳定输出10M正弦波,9854 DDS芯片可以输出80M的稳定正弦波。

出0入0汤圆

发表于 2011-10-29 21:27:27 | 显示全部楼层
回复【360楼】tangjiamin01
楼主有参加今年的电子竞赛吗?做了哪道题?成绩如何?
-----------------------------------------------------------------------

今年适合FPGA做的竞赛题目只有E题-简易数字信号传输性能分析仪。这道题明显偏通信专业,专业性较强,对非通信专业学生来说增加了不少难度。
根据题目要求,系统由信号产生、模拟低通滤网络、数字信号处理等部分。由数字信号发生器发出信号为m序列的曼彻斯特编码,经过合适的低通滤波器网络,与伪随信号模拟噪声求和后进入FPGA数字信号分析,最后提取同步信息并显示眼图波形。
系统组成框图:

系统总体框图 (原文件名:系统总体框图.jpg)
竞赛中使用了FPGA竞赛实验箱,模拟低通滤网络和模拟加法电路在用户自定义模块上搭建和调试。
系统实物照片:

系统实物照片 (原文件名:系统实物照片.jpg)
m序列码和曼码图:

m序列码和曼码图 (原文件名:m序列码和曼码图.JPG)
示波器测量眼图:

示波器测量眼图 (原文件名:示波器测量眼图.JPG)

出0入0汤圆

发表于 2011-11-4 00:21:38 | 显示全部楼层
目前FPGA竞赛实验箱所有文档已经整理完毕。该实验箱是目前市面上少有的专门用于大学生电子设计竞赛赛前培训和实际参赛的实验箱产品,同时也可用于高校实验室数字电路实验和FPGA高级开发。
下面是实验箱上的数字频率计演示视频:
数字频率计演示视频ourdev_691601GD4WSU.rar(文件大小:11.23M) (原文件名:数字频率计演示视频.rar)
主要功能:测频率并用LCD显示,从信号源接入一个信号(正弦波,三角波或者方波),经过AD转换后,送入FPGA中。在FPGA中,使用双值法整形,得到标准的方波,然后测出频率,并送入1602中显示。经测试,其测频误差小于0.5%,其测频范围为10Hz—10MHz。

出0入0汤圆

发表于 2011-11-4 00:46:55 | 显示全部楼层
再发一个实验箱上的数字电压表演示视频:
数字电压表演示视频ourdev_691602LINKBS.rar(文件大小:22.58M) (原文件名:数字电压表演示视频.rar)
主要功能:测量电压有效值并用LCD显示。从信号源接入信号,经过AD转换后,送入FPGA中。在FPGA内完成平方求和再求均值,最后开方得出电压有效值,送入1602中显示。经测试,其值误差在5mv以内。

出0入0汤圆

发表于 2011-11-12 22:13:16 | 显示全部楼层
FPGA竞赛论坛新开张,偏重FPGA应用和电子竞赛技术交流,论坛网址:www.sihangtek.com/bbs/

FPGA电子竞赛技术群:超级群1:113522056(已满);高级群1:153080518

欢迎交流,共同进步!

出0入0汤圆

发表于 2011-11-20 10:11:01 | 显示全部楼层
FPGA竞赛实验箱的相关配套资料(包括使用说明书和光盘)已经做好,来一张实验箱整体效果图:

FPGA竞赛实验箱 (原文件名:FPGA竞赛实验箱.jpg)

出0入0汤圆

发表于 2011-11-30 12:33:24 | 显示全部楼层
竞赛实验箱和竞赛套件的区别:
1、核心板升级,Xilinx由原来的XC2S200升级到XC3S400,Altera由原来的EP1C6升级到EP1C12,下载线都换成USB下载线;
2、电源引线全部从实验箱内部走,模块直接在实验箱上插拔,使用操作和更换模块更方便;
3、增加10个数字电路实验和2个竞赛实验例程;
4、增加所有30个实验例程的实验指导说明书;
5、增加10个竞赛例程的演示视频。

出0入0汤圆

发表于 2012-1-14 08:32:52 | 显示全部楼层
回复【390楼】blueice_net
你好,楼主~看来楼主还是很强的~现在xilinx至少是3或者3e系列了~请教楼主,以前的系列能直接接5v电平,现在最高支持到3.3v,如果一个5v信号接入fpga中,是不是需要片子转化一下?请问楼主通常怎么做?
-----------------------------------------------------------------------

通常串一个100欧的限流电阻就可以了。

出0入0汤圆

发表于 2012-1-18 13:54:42 | 显示全部楼层
发一个完整的FPGA开发实验箱(竞赛普及版)的演示视频,包含10个电子竞赛实验:
1. 简易数字频率计(1997年B题)
2. 数字有效值电压表(1999年B题)
3. 移相信号发生器(2003年C题)
4. 数字相位测量仪(2003年C题)
5. 简易逻辑分析仪(2003年D题)
6. 正弦信号发生器(2005年A题)
7. 数字存储示波器(2007年C题)
8. 程控滤波器(2007年D题)
9. 任意波发生器(2001年A题)
10.简易数字频谱仪(2007年A题)

点击此处下载 ourdev_713673OOOPOE.rar(文件大小:9.54M) (原文件名:FPGA教学实验箱(XILINX竞赛普及版)演示视频.part1.rar)

点击此处下载 ourdev_713559YOD1ZZ.rar(文件大小:9.54M) (原文件名:FPGA教学实验箱(XILINX竞赛普及版)演示视频.part2.rar)

点击此处下载 ourdev_713668STPEPP.rar(文件大小:8.41M) (原文件名:FPGA教学实验箱(XILINX竞赛普及版)演示视频.part3.rar)

出0入0汤圆

发表于 2012-2-28 18:59:16 | 显示全部楼层
最近正考虑为FPGA实验箱再开发一些扩展模块,大家有什么好的建议,一起交流一下。

出0入0汤圆

发表于 2012-4-12 21:15:58 | 显示全部楼层
tangjiamin01 发表于 2012-3-9 22:53
可以考虑加些信号放大、滤波和功放模块。

感谢您的建议,我们也开发了一些ad603等信号放大、程控滤波和lm1875等功放模块,有空一起交流讨论一下。

出0入0汤圆

发表于 2012-4-19 17:22:18 | 显示全部楼层
RLCIC 发表于 2012-2-26 21:07
用spartan3AN吧,内部flash,当CPLD用了

考虑过制作spartan3AN最小系统板,不过担心和原来的Spartan2和Spartan3系列最小系统板管脚不能兼容。

出0入0汤圆

发表于 2012-4-23 10:01:07 | 显示全部楼层
xiongfancun 发表于 2012-4-12 21:29
谢谢楼主分享   想下载!!但是下载速度让我哭啦。。。。。。。。。。。 ...

网速慢的话,也可以直接到我们技术论坛或QQ群里下载共享资料。

技术论坛: www.sihangtek.com/bbs/

FPGA电子竞赛技术QQ群:
超级群1:113522056
高级群1:153080518

出0入0汤圆

发表于 2012-5-14 08:06:27 | 显示全部楼层
mage99 发表于 2012-5-7 22:01
求教楼主,你用了很多高速adc 板板互联的连接器选择? 用的什么型号,这方面不太懂~

最近准备做spartan3e ...

以前模块和FPGA板是用40针排线连接的,排线剪得很短,但高频还是有些干扰。后来模块和FPGA板改成90度弯排针和排座直接对接方式,省掉排线的同时,高频性能也提高了不少。

出0入0汤圆

发表于 2012-5-19 22:59:24 | 显示全部楼层
mage99 发表于 2012-5-17 09:24
看到xlinx官网推荐的fmc 太贵。 但是高速性能极好

还是一些pmod 模块,就是90排座和直角互联。那些东西 ...

是的,那些接口性能是好,但主要用于高端板卡的互连,还是普通的排针和排座比较容易买到。

出0入0汤圆

发表于 2012-5-24 08:51:55 | 显示全部楼层
最近发现今年有个全国高职技能大赛——电子产品设计及制作(基于FPGA技术),用俺这个套件进行赛前培训非常合适。
建了一个2012高职技能大赛群-电子产品设计及制作(基于FPGA技术):235793314,欢迎交流!

出0入0汤圆

发表于 2012-5-28 12:03:19 | 显示全部楼层
“2012年全国职业院校技能大赛”高职组电子产品设计及制作(基于FPGA技术)赛项规程

一、竞赛名称
电子产品设计及制作(基于FPGA技术)
二、竞赛目的
通过竞赛,检验参赛选手在模拟真实的工作环境与条件下实现对电子产品在规定设计方案(规定原理图与结构要求)下的工艺能力和职业素质,包括对常用电子产品制作工具的应用、电子产品的辅助设计能力、电子产品软硬件调试能力、电子产品的加工方法和工艺的操作技能、电子仪器仪表的使用、现场问题的分析与处理、团队协作和创新能力、安全、环保等意识,引导高职院校关注电子行业新技术的发展趋势与技术应用方向,指导和推动电子信息类专业开展面向现代电子产品设计与制作的课程与教学改革,加快电子信息类专业高素质技能型人才的培养,增强技能型人才的就业竞争力。
三、竞赛方式与内容
(一)竞赛方式
1.竞赛模式:封闭式竞赛,在赛前发放赛题任务书。
2.竞赛采取团队比赛方式,每支参赛队由3名选手组成,为2012年在籍高职学生,不分年级,不限男女,其中队长1名。
3.比赛时间为一天,将采用线路板绘制、软件编程和调试、硬件焊接组装与调试同步竞赛的方法进行。所绘制的线路板不加工,通过对线路板的电子稿进行评分,所要绘制的线路板与焊接安装用的线路板约束条件不同(约束条件指线路板安装尺寸、形状、接线口位置)。编程选手采用赛项组委会提供的硬件套件来编程,最后下载到赛题中。参赛的选手可以合理地分工同步进行,比赛时间可以控制在1天以内完成,第二天上午颁奖。
4.参赛选手的分工:按照完成线路板的绘制,硬件的焊接组装和调试工作,技术文件的撰写,软件编程、调试工作及编程技术文档的撰写等内容分工,时间为全天,由学校参赛队自我安排合理分工。
5.允许参赛队员在规定的时间里按照规则接受指导教师的指导。每个参赛队可配指导教师2名,指导教师经报名并通过资格审查后确定。在为期一天的赛程里,各参赛队的一名指导教师在统一规定时间内进入赛场进行现场指导一次。指导教师参与赛题的分析、进行技术要点的提示。指导教师的指导仅限于口头,不得亲自动手操作。
(二)竞赛内容
根据高职高专电子信息类专业学生的培养要求,本大赛展示了电子产品从辅助设计到完成生产的整个过程。各参赛队根据大赛组委会给定竞赛任务书要求,在赛场内完成全部竞赛内容。竞赛任务包括如下内容:
1.线路板的绘制
按照竞赛设计任务书,利用给定的电路原理图、约束条件和Altium软件,绘制出线路板图,在绘制中需考虑高速电路的电磁兼容性和信号完整性。
2.硬件的焊接组装和调试
利用竞赛提供的线路板和元器件套件,完成竞赛作品硬件焊接组装接线和硬件调试等工作。
3.软件的编程和调试
利用竞赛提供的硬件套件搭建与赛题一致的系统,进行软件编程和调试工作(库模块设计单元、图形编辑连接、逻辑图,可以加载给定的IP核)。并最终移植到竞赛作品中。
4.技术文档的撰写
技术文件分为三大类:文字性文件、表格性文件和工程图。
(1)文字性文件:技术说明、使用说明、安装说明等。
(2)表格性设计文件主要有:明细表、程序清单、接线表。
(3)电子工程图主要有:电路图、方框图、印制板图、装配图、接线图、逻辑图、流程图。
竞赛统一公布技术文档编写规范,要求各参赛队按技术文档编写规范编写技术文档。
四、竞赛规则
(一)赛题
1.本赛项的赛题,由出题专家组研究确定竞赛用题的形式与难度,并在比赛前公布经过试做的样题以及竞赛知识点,赛题在比赛现场启封发放。
2.赛题限定的竞赛套件(含器件),在比赛开始前同赛项的赛题一同发放。
(二)赛项需要配套的设备、软件和工具
由参赛队自主准备笔记本电脑、Altium Designer软件、ISE13.1或Quatus编程软件、FPGA下载电缆、数字万用表、焊接和安装工具等,带入赛场。

出0入0汤圆

发表于 2012-5-28 12:04:16 | 显示全部楼层
看来高职教育也开始重视FPGA技术的开发与应用了,呵呵!

出0入0汤圆

发表于 2012-6-6 17:29:17 | 显示全部楼层
FPGA电子竞赛技术群,备战2012各省电赛:超级群1:113522056 (500人)  高级群1:153080518 (200人)  

出0入0汤圆

发表于 2012-6-12 12:37:09 | 显示全部楼层
zhoujie9220 发表于 2012-6-1 09:43
顶楼主,很好很强大!就是芯片有点老了!

是的,芯片型号确实有点老,也在考虑核心板主芯片升级,但是核心板管脚兼容是个问题。

出0入0汤圆

发表于 2012-6-18 11:07:09 | 显示全部楼层
QQ:48217874,淘宝: http://fpgadev.taobao.com/,技术论坛:www.sihangtek.com/bbs/,欢迎交流讨论!

出0入0汤圆

发表于 2012-7-9 17:04:19 | 显示全部楼层
五月的风 发表于 2012-6-30 08:03
楼主能发下你的资料吗  非常感谢!

可以到QQ群里下载相关资料。
FPGA电子竞赛技术群:
超级群1:113522056
高级群1:153080518

出0入0汤圆

发表于 2012-8-6 15:37:06 | 显示全部楼层
谢谢这么多网友的支持,最近在开发几个项目,比较忙,不过套件有时间仍然会不断更新的。

出0入0汤圆

发表于 2012-8-13 17:30:26 | 显示全部楼层
今年各省的竞赛已经陆续展开,不知大家准备的怎样?有的省已经比赛完了,结果怎么样呢?

出0入0汤圆

发表于 2012-8-23 22:48:40 | 显示全部楼层
嵌入式—未来 发表于 2012-7-14 20:46
现在dds很容易吧。。。。。

FPGA内实现DDS功能很容易的,可以自己写代码,也可以直接调用IP核。
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子论坛 -- 东莞阿莫电子网站 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2023-9-29 05:02

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表