搜索
bottom↓
楼主: navalguy

秀一下俺做的一款XILINX FPGA电子竞赛套件

  [复制链接]

出0入0汤圆

发表于 2011-6-12 15:12:50 | 显示全部楼层
最近正在开发电子竞赛专用实验箱。实验箱是在竞赛套件的基础上进行升级,使用更加方便,实例和文档更加丰富。下面是实验箱照片(手机拍的,画面效果一般):


FPGA竞赛专用实验箱 (原文件名:FPGA竞赛专用实验箱.jpg)

FPGA竞赛专用实验箱(通电) (原文件名:FPGA竞赛专用实验箱(通电).jpg)
目前整个实验箱硬件平台已经测试通过,正在进行文档的整理和新增例程的开发工作。预计暑假前完工,敬请关注!

出0入0汤圆

发表于 2011-6-12 15:40:50 | 显示全部楼层
mark

出10入0汤圆

发表于 2011-6-12 17:10:46 | 显示全部楼层
mark!!以后学习!

出0入0汤圆

发表于 2011-6-12 18:37:09 | 显示全部楼层
回复【245楼】navalguy2
-----------------------------------------------------------------------

我买的8元一个

出0入0汤圆

发表于 2011-6-14 22:19:41 | 显示全部楼层
秀一下最新开发的一款单片机&外设扩展模块板。
电子竞赛模块—单片机&外设扩展模块板:由STC89C5x系列单片机、VGA、RS-232串口、PS/2和3.2寸TFT彩屏液晶扩展接口组成。既可以作为单片机最小系统板来使用,也可以作为扩展模块接在FPGA扩展底板上由FPGA来控制。

单片机&外设扩展模块板 (原文件名:单片机&外设扩展模块板.jpg)

出0入0汤圆

发表于 2011-6-14 22:32:22 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-6-14 22:32:40 | 显示全部楼层
下面是单片机&外设扩展模块板插在FPGA竞赛实验箱上的效果:

FPGA竞赛专用实验箱2 (原文件名:FPGA竞赛专用实验箱2.jpg)
下面是通电后的效果:

FPGA竞赛专用实验箱2(通电). (原文件名:FPGA竞赛专用实验箱2(通电).jpg)

出0入0汤圆

发表于 2011-6-15 19:08:11 | 显示全部楼层
回复【290楼】326057088 菜菜
楼主,我是大三的学生,最近在用xilinx驱动tft,但是在跑51内核的时候,初始化rom,是不是只要导入coe文件即可,但文件显示是红色,不能进入next,点击show就卡了,电脑cpu一直是100%运行,是程序太大了还是其他什么原因啊,初始化rom应该是怎么操作的啊?。。。。。。。。。。。。。。。
-----------------------------------------------------------------------

可能是COE文件比较大,这种情况不同于FIR滤波器的系数查看,一般不用点击show查看,导入文件后直接进行下一步就可以了。

出0入0汤圆

发表于 2011-6-15 19:13:11 | 显示全部楼层
回复【291楼】326057088 菜菜
楼主,我在使用51内核的时候遇见了一个很奇怪的问题,在rom例化时addr的宽度为16,我设的也是16,但是在top文件声明却报错,ise指出addr为10位,不知道是为什么,请指导小弟啊!。。。。。

rom例化截图 (原文件名:rom例化.jpg)


(原文件名:rom核申明.jpg)
引用图片

<center>
<font colo......
-----------------------------------------------------------------------

检查一下你的ROM IP核的大小,不知道你用的是哪款FPGA芯片,一般的FPGA芯片的ROM深度开不到64K,看编译提示addr为10位,可能你设的ROM的深度只有2^10=1k大小。

出0入0汤圆

发表于 2011-6-20 00:44:37 | 显示全部楼层
回复【6楼】navalguy  
双通道ad板上sma插座接的是信号源送过来的高频信号,信号频率范围:0—20mhz,电压范围:vpp&lt;=4v。
双通道da板上sma插座输出高频信号给示波器,信号频率范围:0—10mhz,电压范围:vpp&lt;=5v。
目前信号类电子竞赛题目的高频指标越来越高,普通的ad、da(如adc0809,dac0832)芯片难以满足指标。这款套件的高频指标很好,可以轻松应对此类竞赛题目。
-----------------------------------------------------------------------

不错,最近一直在学习FPGA,这个收藏了。
但是,20MHz的信号没必要用SMA插座,SMA高端到18GHz,MCX就足够了,而且体积小。
在MHz级别想要维持信号质量,多注意一下PCB的布线就可以,主要有地线的设置,信号线线宽,信号线间距。
再注意一下高频信号输入输出线缆的屏蔽情况,另外把信号变成差分的应该会有一些用处的。
对于一个20MHz的信号,接插件不会是问题的瓶颈。
以上拙见。

出0入0汤圆

发表于 2011-6-22 09:42:10 | 显示全部楼层
楼主的实验箱很酷,布局很美观,功能令人期待。全力支持楼主把这个项目做好!

出0入0汤圆

发表于 2011-6-22 19:44:30 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-6-23 11:20:39 | 显示全部楼层
mark!

出0入0汤圆

发表于 2011-6-23 19:13:24 | 显示全部楼层
cool!

出0入0汤圆

发表于 2011-6-28 20:24:51 | 显示全部楼层
来张实验箱的全家福照片:

FPGA实验箱(全家福) (原文件名:FPGA实验箱.jpg)
实验箱采用底板+模块板的架构。实验箱上专门开辟用户自定义功能区,上面可以插拔单片机&外设扩展模块板、DA模块、DDS模块板、三相大功率DA模块板、多路输出线性电源模块板、面包板等模块。阵容豪华,功能强大。

出0入0汤圆

发表于 2011-7-5 22:31:15 | 显示全部楼层
下面是最近做的xilinx USB下载线,配实验箱很方便,当然也可以配合一般的xilinx开发板使用。

XILINX USB下载线 (原文件名:XILINX USB下载线.jpg)
更多详细介绍请见:http://item.taobao.com/item.htm?id=10863150624

出0入0汤圆

发表于 2011-7-6 21:07:17 | 显示全部楼层
非常牛逼!,学习了

出0入0汤圆

发表于 2011-7-10 23:48:35 | 显示全部楼层
最近正在加紧实验箱的竞赛实例移植和开发,下面是数字频率计在实验箱上的运行效果:

数字频率计 (原文件名:数字频率计.jpg)

出0入0汤圆

发表于 2011-7-14 16:29:51 | 显示全部楼层
回复【楼主位】navalguy
-----------------------------------------------------------------------

还行

出0入0汤圆

发表于 2011-7-16 21:30:38 | 显示全部楼层
【推荐】FPGA竞赛交流超级群(500人)
讨论和交流大学生电子设计竞赛经验!
FPGA电子竞赛技术群(超级群):113522056
备战2011全国大学生电子竞赛,大家一起加油努力!

出0入0汤圆

发表于 2011-7-17 20:58:44 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-7-21 15:56:27 | 显示全部楼层
MARK
正想买这块FPGA模块做实验呢!

出0入0汤圆

发表于 2011-7-22 16:13:07 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-7-27 22:32:12 | 显示全部楼层
07.27专家组组长详谈2011国赛基本要求及赛题特点
    QQ群里传过来的消息,供大家备赛参考:
    全国大学生电子设计竞赛专家组组长,北京理工大学罗伟雄教授在会上详细谈了今年的全国大学生电子设计竞赛的基本要求及赛题特点。其相关精神,总结如下:
    1、赛题数量减少,大概5题;难度有所降低,题型突出基础知识(模拟电路、数字电路,和单片机应用)的综合应用能力的考核,降低专业特性和专业特色,降低直接应用性特性(也是降低专业特色的一个方面)。原因:今年要回归电子设计竞赛的初衷,即突出基础知识的综合应用能力,主要包括模拟电路、数字电路,和单片机应用。而不会像2009年及之前的专门为特定专业出题(如自动化控制,通信等)。所以,今年的题型更具一般性,更能兼顾各专业的基础知识的教学,即能保证任何相关专业的学生都能作的赛题。过去的恶性循环是,赛题越来越难,原因是赛题一旦公布,许多学校就有大量的后续团队,甚至各大相关的公司都介入竞赛,帮助完成赛题,结果导致下届竞赛不得不加大赛题的难度,结果却脱离了竞赛的初衷。因此今年的题型和难度都有所变化,但为了滤除可能的作弊,将于9月13号再对得奖队搞一个全封闭型竞赛。
    2、由于有飞思卡尔竞赛,及降低专业属性,故小车控制类题不会有(此偏工业控制),无线通信类题不会有(此题偏通信)。但电路匹配网络、电源设计类题可能有。
    3、没有纯数字或纯模拟的题;
    4、赛题更多偏向于硬件设计,与单片机相关的软件设计内容一定有,但不会多,量也不会大。因为软件设计量太大,容易导致作弊,或外部协作力量的介入,对竞赛评判不利,所以诸如DSP、嵌入式系统等太偏软件设计的赛题不会有;
    5、新技术新器件仍然鼓励使用,但并不额外加分。与数字电路相关的设计可利用FPGA/CPLD及其相关小系统上完成;
    6、SOPC技术的应用只突出适用性。即赛题不会提出用什么技术,只要能按要求完成,任何技术都可以。罗教授特别提到,SOPC实际上就是一个FPGA加一个内部的单片机。
    7、今年的模拟电路设计肯定包含运放的不同类型的应用,强调这是基础。
    8、在评审中,今年仍然可能考虑系统的性价比和功耗。所以如果直接将接口完备的系统板(如DE2板)用到竞赛中有可能扣分。
    9、赛题将突出电子设计的特点,而降低其他能力的考核。如与机械加工,道路加工,转轴加工、吊瓶、木板选择等等。因为这些能力不应该在竞赛的考核范围内,否则就不叫电子设计竞赛了。所以根据这个精神,今年的赛题,纯电子类,纯基础知识应用类题一定较多。
    10、为了突出电子技术的硬件设计,今年起,将往年50分的论文分数降低到20至30分,增加电路实物设计的分数。即今年的评分更加注重硬件系统的技术指标。硬件不过关,论文再好也不会有什么分。

    9月13日的全封闭电子设计赛相关情况和要求:
    参加竞赛对象是已经获全国一等二等奖的参赛队。全封闭8个多小时,完成一个难度不大的数字模拟小系统设计项目。FPGA和单片机最小系统需自备(针对数字电路的设计),模拟电路由此次日本赞助公司提供一片专用芯片,提供详细的使用说明。此专用芯片最大的可能是一片多运放的器件,但也有可能是ADC或DAC。因此还要准备通用板,好焊接此器件(建议现在就上网搜索此公司的相关器件产品)。对于评审,要求此系统的焊接器件要符合规范,器件引脚和连线要尽可能短,减少干扰可能。

出0入0汤圆

发表于 2011-8-5 00:37:40 | 显示全部楼层
今年的国赛又要开始了,国家奖的复赛应该能公平些,希望能考核出学生真正的能力。

出0入0汤圆

发表于 2011-8-7 14:17:53 | 显示全部楼层
回复【287楼】navalguy2  
-----------------------------------------------------------------------

倒也是,对于电赛来说,实现功能性才是唯一的。
不过以后可以用些量产的芯片做些板子,毕竟比赛不是天天都有的。
另外告诫参加国赛的学弟学妹们,这不过是个开始而已;等你工作了就会觉得比赛的内容太小儿科了,更何况还有很多猫腻。

出0入0汤圆

发表于 2011-8-7 14:24:13 | 显示全部楼层
其实我要是出考题的,我就出两题:
1 用分立元器件做一个符合要求的运放出来。 2 用分立元器件做个符合要求的开关电源。这才是考核你真正的技术能力的时候。
其他什么FPGA,MCU,DSP什么的不过是个平台而已,以后工作了再学不迟。在学校里打好基础了,以后就是学个软件操作的问题了。

出0入0汤圆

发表于 2011-8-7 20:02:06 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-8-9 11:11:32 | 显示全部楼层
回复【7楼】navalguy
-----------------------------------------------------------------------

楼主太伟大了。强烈支持。

出0入0汤圆

发表于 2011-8-9 11:12:05 | 显示全部楼层
回复【7楼】navalguy
-----------------------------------------------------------------------

楼主太伟大了。强烈支持。

出0入0汤圆

发表于 2011-8-10 15:29:42 | 显示全部楼层
楼主程控滤波是用什么芯片做的啊

出0入0汤圆

发表于 2011-8-12 14:02:57 | 显示全部楼层
大牛,正在学习FPGA,请多多指教,mark!

出0入0汤圆

发表于 2011-8-14 19:00:35 | 显示全部楼层
mark!

出0入0汤圆

发表于 2011-8-14 19:09:13 | 显示全部楼层
mark!

出0入0汤圆

发表于 2011-8-16 08:50:15 | 显示全部楼层
回复【330楼】lanzhoudaxue
楼主程控滤波是用什么芯片做的啊
-----------------------------------------------------------------------

在FPGA内用数字滤波的方法实现。滤波器的系数事先设计好,预存在FPGA内部,根据不同的指标来调用。

出0入0汤圆

发表于 2011-8-16 11:58:13 | 显示全部楼层
mark!

出0入0汤圆

发表于 2011-8-16 12:42:28 | 显示全部楼层
玩过类似的卡片级袖珍示波器

出0入0汤圆

发表于 2011-8-16 22:09:09 | 显示全部楼层
楼主,我要重重的mark你一下。。。。

出0入0汤圆

发表于 2011-8-16 23:42:44 | 显示全部楼层
这楼盖的高呀.FPGA玩的人还是少呀,玩的好的也就楼主了.

出0入0汤圆

发表于 2011-8-19 21:35:03 | 显示全部楼层
回复【325楼】pontiff  
-----------------------------------------------------------------------

说的好

出0入0汤圆

发表于 2011-8-23 12:15:37 | 显示全部楼层
貌似现在比赛最好用最小系统板吧?楼主要不弄个

出0入0汤圆

发表于 2011-8-23 18:35:25 | 显示全部楼层
好!

出0入0汤圆

发表于 2011-8-23 18:35:47 | 显示全部楼层
恩恩!不错!

出0入0汤圆

发表于 2011-8-25 01:12:00 | 显示全部楼层
回复【94楼】navalguy2
-----------------------------------------------------------------------

请问用DA产生扫频信号后级要加滤波不?信号精度能否保证?我用DDS芯片产生的,用单片机控制的,跟楼主比差远了。。。。。

出0入0汤圆

发表于 2011-8-25 13:12:19 | 显示全部楼层
元器件清单出来了:

2011年全国大学生电子设计竞赛基本仪器和主要元器件清单

1、基本仪器清单
20MHz普通示波器(双通道,外触发输入,有X轴输入)
60MHz双通道数字示波器
低频信号发生器(1Hz~1MHz)
标准高频信号发生器(1MHz~30MHz,可输出1mV小信号)
函数发生器(10 MHz,DDS)
低频毫伏表
高频毫伏表
100 MHz频率计
失真度测试仪
直流稳压电源
秒表
量角器
100℃温度计
四位半数字万用表
单片机开发系统及PLD开发系统
扫频仪(20MHz)

2、主要元器件清单
单片机最小系统板
A/D、D/A转换器
运算放大器、电压比较器
可编程逻辑器件及其下载板
显示器件
小型电动车
小型继电器
小型步进电机
漆包线
电子开关
高频磁芯
滑线变阻器(100Ω/2A、10Ω/5A)
变容二极管(2pF~30pF)
光电传感器
角度传感器
超声传感器
大功率电阻(2Ω/36W、10Ω/36W等)
无线收发模块
红外收发管
小型直流风扇

大家可以一起分析分析,猜猜可能的出题方向。

出0入0汤圆

发表于 2011-8-25 15:06:25 | 显示全部楼层
先抛砖引玉下(纯属个人观点,仅供参考):
1、控制类:小车今年绝对有,很多人可以放心了。光电传感器,角度传感器,超声传感器,秒表,量角器,小车专用的东西,今年的车很难了,自求多福吧。
2、电源类:今年出现了电子开关、高频磁芯,搞不好会出开关电源或做高频变压器的题目。滑动变阻器、大功率电阻和风扇(散热)可能用来测试指标。
3、高频类:变容二极管的出现,可能会涉及类似收音机调频调谐方面的应用。
4、放大器类:注意仪器清单多出1mv输出要求,可能要求对1mv进行放大至少到1V(1000倍,60db),所以要准备个好的放大电路。
5、仪器仪表类:目前还看不出有明显的提示,有不少人猜测会是失真度测试仪或高频扫频仪。

出0入0汤圆

发表于 2011-8-29 22:52:19 | 显示全部楼层
看来题目是越来越难了。1mv的小信号放大太难了,很多信号源都发不出1mv的信号,噪声高于1mv就看不到信号了。

出0入0汤圆

发表于 2011-8-30 10:41:54 | 显示全部楼层
像这样的东西一般高校最需要,现在高校都喜欢那这种比赛来说事情

出0入0汤圆

发表于 2011-8-30 18:52:20 | 显示全部楼层
有难度

出0入0汤圆

发表于 2011-8-30 22:39:08 | 显示全部楼层
又有信号放大啊,基本指标40db,最高指标60db?
花钱做板砸砸就肯定能拿个奖了...
自己焊洞洞板达到这指标太难了

出0入0汤圆

发表于 2011-9-6 10:05:01 | 显示全部楼层
ma de .niu!

出0入0汤圆

发表于 2011-9-8 22:40:12 | 显示全部楼层
好。好东西

出0入0汤圆

发表于 2011-9-16 10:10:11 | 显示全部楼层
回复【311楼】navalguy2
-----------------------------------------------------------------------

楼主能不能把原理图贴上来?

出0入0汤圆

发表于 2011-9-19 21:33:21 | 显示全部楼层
开发板不错,多钱呀?

出0入0汤圆

发表于 2011-9-26 09:00:23 | 显示全部楼层
牛啊

出0入0汤圆

发表于 2011-9-30 14:25:49 | 显示全部楼层
FPGA是好东西啊!可惜还是太贵,要不然早就一统天下了

出0入0汤圆

发表于 2011-10-13 21:23:29 | 显示全部楼层
回复【344楼】tao_tao 靜默
-----------------------------------------------------------------------
请问用da产生扫频信号后级要加滤波不?信号精度能否保证?我用dds芯片产生的,用单片机控制的,跟楼主比差远了。。。。。
-----------------------------------------------------------------------

DA输出信号后级没有加滤波,可以根据具体要求另外再加。DA芯片是14位的,精度应该能保证。DDS芯片的高频指标应该比FPGA+DA要高些,FPGA+DA一般可稳定输出10M正弦波,9854 DDS芯片可以输出80M的稳定正弦波。

出0入0汤圆

发表于 2011-10-16 13:31:42 | 显示全部楼层
ding

出0入0汤圆

发表于 2011-10-19 21:01:55 | 显示全部楼层
回复【34楼】navalguy
-----------------------------------------------------------------------

学习学习

出0入0汤圆

发表于 2011-10-20 22:42:21 | 显示全部楼层
楼主有参加今年的电子竞赛吗?做了哪道题?成绩如何?

出0入0汤圆

发表于 2011-10-20 23:01:13 | 显示全部楼层
啊什么时候把资料放出来瞧瞧啊

出0入0汤圆

发表于 2011-10-21 00:06:31 | 显示全部楼层
mark'

出0入0汤圆

发表于 2011-10-21 10:29:29 | 显示全部楼层
mark!!!

出0入0汤圆

发表于 2011-10-21 11:35:26 | 显示全部楼层
NX人才能做出来的东东,顶起来

出0入0汤圆

发表于 2011-10-24 09:51:34 | 显示全部楼层
Mark FPGA

出0入0汤圆

发表于 2011-10-26 13:20:02 | 显示全部楼层
顶一个!

出0入0汤圆

发表于 2011-10-29 21:27:27 | 显示全部楼层
回复【360楼】tangjiamin01
楼主有参加今年的电子竞赛吗?做了哪道题?成绩如何?
-----------------------------------------------------------------------

今年适合FPGA做的竞赛题目只有E题-简易数字信号传输性能分析仪。这道题明显偏通信专业,专业性较强,对非通信专业学生来说增加了不少难度。
根据题目要求,系统由信号产生、模拟低通滤网络、数字信号处理等部分。由数字信号发生器发出信号为m序列的曼彻斯特编码,经过合适的低通滤波器网络,与伪随信号模拟噪声求和后进入FPGA数字信号分析,最后提取同步信息并显示眼图波形。
系统组成框图:

系统总体框图 (原文件名:系统总体框图.jpg)
竞赛中使用了FPGA竞赛实验箱,模拟低通滤网络和模拟加法电路在用户自定义模块上搭建和调试。
系统实物照片:

系统实物照片 (原文件名:系统实物照片.jpg)
m序列码和曼码图:

m序列码和曼码图 (原文件名:m序列码和曼码图.JPG)
示波器测量眼图:

示波器测量眼图 (原文件名:示波器测量眼图.JPG)

出0入0汤圆

发表于 2011-10-29 22:48:41 | 显示全部楼层
哇,FPGA,看来是好东西啊,我也想学了,无奈啊,我就像一个2051,现在给自己加载了太多的,太多的任务,每一个任务的CPU占用率都是%100,警告,多任务无法正常执行。。。

出0入0汤圆

发表于 2011-10-30 11:19:42 | 显示全部楼层
回复【325楼】pontiff
-----------------------------------------------------------------------

有道理,被你说中了,这次真是用分立元件+运放,说不定下次真是做一个开关电源,一个字,牛

出0入0汤圆

发表于 2011-10-30 14:28:19 | 显示全部楼层
好厉害

出0入0汤圆

发表于 2011-11-4 00:21:38 | 显示全部楼层
目前FPGA竞赛实验箱所有文档已经整理完毕。该实验箱是目前市面上少有的专门用于大学生电子设计竞赛赛前培训和实际参赛的实验箱产品,同时也可用于高校实验室数字电路实验和FPGA高级开发。
下面是实验箱上的数字频率计演示视频:
数字频率计演示视频ourdev_691601GD4WSU.rar(文件大小:11.23M) (原文件名:数字频率计演示视频.rar)
主要功能:测频率并用LCD显示,从信号源接入一个信号(正弦波,三角波或者方波),经过AD转换后,送入FPGA中。在FPGA中,使用双值法整形,得到标准的方波,然后测出频率,并送入1602中显示。经测试,其测频误差小于0.5%,其测频范围为10Hz—10MHz。

出0入0汤圆

发表于 2011-11-4 00:46:55 | 显示全部楼层
再发一个实验箱上的数字电压表演示视频:
数字电压表演示视频ourdev_691602LINKBS.rar(文件大小:22.58M) (原文件名:数字电压表演示视频.rar)
主要功能:测量电压有效值并用LCD显示。从信号源接入信号,经过AD转换后,送入FPGA中。在FPGA内完成平方求和再求均值,最后开方得出电压有效值,送入1602中显示。经测试,其值误差在5mv以内。

出0入0汤圆

发表于 2011-11-6 00:12:55 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-11-9 20:53:56 | 显示全部楼层
楼主实验箱功能十分强大,比套件用起来更加方便,确实是竞赛培训的好东东。

出0入0汤圆

发表于 2011-11-9 21:34:55 | 显示全部楼层
牛啊 好东西

出0入0汤圆

发表于 2011-11-12 22:13:16 | 显示全部楼层
FPGA竞赛论坛新开张,偏重FPGA应用和电子竞赛技术交流,论坛网址:www.sihangtek.com/bbs/

FPGA电子竞赛技术群:超级群1:113522056(已满);高级群1:153080518

欢迎交流,共同进步!

出0入0汤圆

发表于 2011-11-20 10:11:01 | 显示全部楼层
FPGA竞赛实验箱的相关配套资料(包括使用说明书和光盘)已经做好,来一张实验箱整体效果图:

FPGA竞赛实验箱 (原文件名:FPGA竞赛实验箱.jpg)

出0入0汤圆

发表于 2011-11-25 21:28:45 | 显示全部楼层
楼主实验箱做工精致,非常专业啊!

出0入0汤圆

发表于 2011-11-26 20:46:34 | 显示全部楼层
回复【楼主位】navalguy  
-----------------------------------------------------------------------
支持LZ的专业精神。

出0入0汤圆

发表于 2011-11-30 12:33:24 | 显示全部楼层
竞赛实验箱和竞赛套件的区别:
1、核心板升级,Xilinx由原来的XC2S200升级到XC3S400,Altera由原来的EP1C6升级到EP1C12,下载线都换成USB下载线;
2、电源引线全部从实验箱内部走,模块直接在实验箱上插拔,使用操作和更换模块更方便;
3、增加10个数字电路实验和2个竞赛实验例程;
4、增加所有30个实验例程的实验指导说明书;
5、增加10个竞赛例程的演示视频。

出0入0汤圆

发表于 2011-12-1 00:04:39 | 显示全部楼层
markkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkkk!

出0入0汤圆

发表于 2011-12-1 22:57:37 | 显示全部楼层
刚好学习FPGA呢,看看楼主的开发板

出0入0汤圆

发表于 2011-12-4 15:45:08 | 显示全部楼层
楼主的试验箱好炫哈,顶

出0入0汤圆

发表于 2011-12-14 22:52:02 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-12-15 00:33:11 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-12-26 11:16:23 | 显示全部楼层
mark

出110入0汤圆

发表于 2011-12-26 12:58:02 | 显示全部楼层
咱out了

还在看 xilinx脚最少的cpld……

出0入0汤圆

发表于 2011-12-26 18:11:21 | 显示全部楼层
前来学习mark

出0入0汤圆

发表于 2011-12-27 09:52:47 | 显示全部楼层
你好,楼主~看来楼主还是很强的~现在XILINX至少是3或者3E系列了~请教楼主,以前的系列能直接接5V电平,现在最高支持到3.3V,如果一个5V信号接入FPGA中,是不是需要片子转化一下?请问楼主通常怎么做?

出0入0汤圆

发表于 2011-12-28 11:47:13 | 显示全部楼层
想问问楼主,那个显示波形的上位机是自己写的么,最近在写上位机,上位机的显示波形求赐教

出0入0汤圆

发表于 2011-12-28 12:56:03 | 显示全部楼层
看一下

出0入0汤圆

发表于 2012-1-14 08:32:52 | 显示全部楼层
回复【390楼】blueice_net
你好,楼主~看来楼主还是很强的~现在xilinx至少是3或者3e系列了~请教楼主,以前的系列能直接接5v电平,现在最高支持到3.3v,如果一个5v信号接入fpga中,是不是需要片子转化一下?请问楼主通常怎么做?
-----------------------------------------------------------------------

通常串一个100欧的限流电阻就可以了。

出0入0汤圆

发表于 2012-1-14 09:11:53 | 显示全部楼层
收藏

出0入0汤圆

发表于 2012-1-18 13:54:42 | 显示全部楼层
发一个完整的FPGA开发实验箱(竞赛普及版)的演示视频,包含10个电子竞赛实验:
1. 简易数字频率计(1997年B题)
2. 数字有效值电压表(1999年B题)
3. 移相信号发生器(2003年C题)
4. 数字相位测量仪(2003年C题)
5. 简易逻辑分析仪(2003年D题)
6. 正弦信号发生器(2005年A题)
7. 数字存储示波器(2007年C题)
8. 程控滤波器(2007年D题)
9. 任意波发生器(2001年A题)
10.简易数字频谱仪(2007年A题)

点击此处下载 ourdev_713673OOOPOE.rar(文件大小:9.54M) (原文件名:FPGA教学实验箱(XILINX竞赛普及版)演示视频.part1.rar)

点击此处下载 ourdev_713559YOD1ZZ.rar(文件大小:9.54M) (原文件名:FPGA教学实验箱(XILINX竞赛普及版)演示视频.part2.rar)

点击此处下载 ourdev_713668STPEPP.rar(文件大小:8.41M) (原文件名:FPGA教学实验箱(XILINX竞赛普及版)演示视频.part3.rar)

出0入0汤圆

发表于 2012-1-18 14:00:06 | 显示全部楼层
支持一个

出0入0汤圆

发表于 2012-1-18 14:34:18 | 显示全部楼层
支持

出0入0汤圆

发表于 2012-2-3 16:45:07 | 显示全部楼层
mark...

出0入0汤圆

发表于 2012-2-4 11:52:47 | 显示全部楼层
我在淘宝上买的就是你这款,硬件不错吗,就是往届的实例做的水平也就省级一、二等奖啊,哥们啊加油

出0入0汤圆

发表于 2012-2-5 14:49:30 | 显示全部楼层
严重顶!

出0入0汤圆

发表于 2012-2-7 12:37:17 | 显示全部楼层
mark
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-4-27 11:05

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表