搜索
收藏本版 (107) |订阅

FPGA 今日: 1 |主题: 10372|排名: 8 

作者 回复/查看 最后发表
求助,Quartus顶层文件用原理图,用modelsim只能用时序仿真,而不能用功能仿真 wuyongqing1960 2010-8-10 66073 cicnx 2010-8-10 20:03
关于niosii软件编译后生成的.hex文件如何下载 wcabcd 2010-8-10 02064 wcabcd 2010-8-10 14:40
如何在NIOSⅡ9.1中如何向Flash存储器下程序 xiaohe669 2010-6-1 112967 qiangweicc 2010-8-10 11:48
小弟真心求教FPGA的实验顺序,还请指点 barryyan2007 2010-8-8 62363 barryyan2007 2010-8-10 10:27
好想找一个很会Xilinx的FPGA的师傅啊 yl604922959 2010-8-5 122931 dragonyoo 2010-8-10 08:20
发个别人画的板子 murcdix 2010-8-7 213867 rx_78gp02a 2010-8-10 02:06
各位学过以及正在学MICROBLAZE的兄弟,都是看英文资料学的? li0o0o0o0o0o 2010-8-9 01917 li0o0o0o0o0o 2010-8-9 20:25
这两天好像坛子比较慢 NJ8888 2010-6-9 22233 NJ8888 2010-8-9 20:05
全桥IGBT的DSP控制20khz高频电源,F28335或F2812输出后经过CPLD或FPGA然后输出到驱动 cicigaobijiba 2010-8-5 196388 capron 2010-8-9 18:36
Cyclone III EP3C120F780 这款芯片的等效门数在多少?? xiaocanmeng 2010-7-26 74620 wind_badman 2010-8-9 17:02
quartus Ⅱ9.1综合奇怪现象 mengtrue 2010-8-9 12169 maqingbiao 2010-8-9 14:10
有谁在NIOS II 上面跑过双核,有没有什么资料可以给小弟参考一下,谢谢! number007cool 2010-8-7 42675 newbier 2010-8-9 08:46
这块上网本屏怎么样?能用FPGA驱动吗?应该如何驱动? kyughanum 2010-8-6 92839 kyughanum 2010-8-8 17:12
请教 以太网芯片的电磁耦合变压器问题 gibson08 2010-8-7 32376 gibson08 2010-8-8 12:15
为什么在ALTERA FPGA里采用VERILOG语言的任务和过程不能执行? hjbf6237 2010-8-7 32264 wanwzy 2010-8-8 11:52
刚焊好的一块FPGA板子,呵呵,发上来看看 cfqxdgr 2010-8-7 42881 h2feo4 2010-8-7 23:46
CPLD驱动4.3寸TFT显示颜色问题 wso75839840 2010-8-7 33000 wso75839840 2010-8-7 20:31
新来的,报个到~~~ mafei881028 2010-8-7 11856 yuri99q 2010-8-7 20:06
哪位解决了 quartus 10.0 的问题? murcdix 2010-8-7 13633 tear086 2010-8-7 11:47
nios ii IDE 如何向工程文件夹中添加c代码? 真的找不到(已解决 感谢tear086!) lianglong 2010-8-7 43342 lianglong 2010-8-7 11:11
用QUARTUS II进行波形仿真时在49%卡住,还请指教 barryyan2007 2010-8-6 75631 barryyan2007 2010-8-6 21:44
请教EPM240计数用的200MHz使能哪里搞 kingmacth 2010-8-6 11990 kingmacth 2010-8-6 14:31
听说cyloneII停产了,是真的么? kingreat 2010-7-30 73411 wind_badman 2010-8-6 10:55
【John Wakerly 著作】数字设计:原理与实践(原书第4版)—中文版 yun_yun 2009-12-24 104883 kunpeng032 2010-8-6 09:03
请教:关于RGB到灰度图像的转换。 yvhksovo 2010-3-15 2210363 wanwzy 2010-8-6 08:29
mobile DDR引脚连接 whyseu 2010-8-5 22444 cfqxdgr 2010-8-5 22:17
Nano FPGA 使用說明和 VGA IP 代碼 chenshengyang 2010-8-1 62739 eworker 2010-8-5 19:10
谁给个两位数码管的计数器 VERILOG 程序啊 初学 最好简单易懂 li0o0o0o0o0o 2010-8-4 12317 tear086 2010-8-4 22:54
没有外部复位信号的CPLD如何自己复位? whwlxl 2010-8-4 12313 shinehjx 2010-8-4 14:26
菜鸟请教:一段可综合的代码,比如说UART模块,选择放在CPLD 或 FPGA 上,是不是只有适不适 ringan865 2010-8-4 12126 simplorer 2010-8-4 11:32
求助:按键亮灯问题 TC668 2010-8-3 01751 TC668 2010-8-3 21:27
关于静态内存的一个问题,求助各位大侠。 tly823875 2010-8-3 01861 tly823875 2010-8-3 21:12
[转载].提问的智慧;讲得蛮有道理,推荐大家一起看看 tear086 2010-8-1 22090 tear086 2010-8-3 18:14
被verilog 的信号敏感表弄有些糊涂了 flipchip 2010-7-26 85659 bone 2010-8-3 17:55
请教:FPGA写flash的问题. yvhksovo 2010-8-3 01947 yvhksovo 2010-8-3 09:25
请问各位ISE10.1 对应的版本是不是MODELSIM XE 6.3C kenson 2010-4-24 23200 wanwzy 2010-8-2 22:40
发个刚做的好玩的东西,用CPLD实现均匀分布的噪声 yuhang 2009-11-28 83013 wanwzy 2010-8-2 22:30
高教社&XILINX杯 上哪去申请 ISE 10。1 li0o0o0o0o0o 2010-7-26 32260 bubujkjk 2010-8-2 21:27
关于FPGA 逻辑单元 和 门 的问题 cwfboy 2010-7-31 32579 fzfh1219 2010-8-2 21:19
求人设计FPGA和AD的板子 semonpic 2010-7-29 62373 lixin91985 2010-8-2 20:57
请教:关于状态机内部输出产生毛刺的问题. yvhksovo 2010-7-31 42378 eeko2005 2010-8-2 18:56
为什么我的程序JTAG下正常,写到flash后就不正常了呢? aboluo2007 2010-7-31 22625 aboluo2007 2010-8-2 16:26
求助~~~~SD卡读写的问题,用了网上一个开源的SD IP核 求助 panshengwow 2010-8-2 22314 panshengwow 2010-8-2 15:45
请大家推荐一款FPGA开发板(ALTERA的最好,带PCI和100M以太网) oceanx 2010-7-30 43670 oceanx 2010-8-2 10:17
Verilog HDL 浮点数的表示?请教高手 maqingbiao 2010-7-21 24222 12586421zxztg 2010-8-1 19:37
四川内寻找对Xilinx的V2系列熟悉的人,对于内嵌PPC405在EDK下的使用,主要是解决项目人 1a2b3c 2010-7-8 203631 linux_pro 2010-8-1 16:46
DE2/DE1大学计划IP移植到DE0板上 dongjie1989619 2010-8-1 02322 dongjie1989619 2010-8-1 14:24
AD、DA芯片的模拟地与数字地 jlqamark 2010-7-29 116328 jlqamark 2010-8-1 09:36
关于RAM的俩个初始化文件 lgzeng 2010-7-30 11852 yvhksovo 2010-7-31 20:26
8寸TFT屏控制器硬件怎么做? 40130064 2010-7-25 12366 zxky001 2010-7-31 00:06
快过来 Cyclone III 核心板 alayi 2010-7-10 194123 cfqxdgr 2010-7-30 22:54
哪位有VQFP100的protel的PCB封装谢谢共享一个 lightning2008 2010-7-28 22134 lurenJia 2010-7-30 22:45
altera sopc builder软件使用中的问题 qinqinfamily 2010-7-28 12175 qinqinfamily 2010-7-30 20:22
Nios 打开时出现的问题 qinqinfamily 2010-7-29 11783 qinqinfamily 2010-7-30 20:21
关于verilog双向三态门实现语句的疑问 asust2p4 2010-7-29 05058 asust2p4 2010-7-29 21:30
orcad和modelsim有冲突! Adrian 2010-7-20 52685 magic14 2010-7-29 20:56
请教:verilog for循环问题 cdyy777 2010-7-28 56408 cdyy777 2010-7-29 13:28
求推荐一款FPGA开发板(带USB控制器) xiaocanmeng 2010-7-26 82780 lujp 2010-7-29 10:15
Spartan+3E用户指南(中文版).doc 不好意思,刚发的帖有人发过了,临时改主题了。 liuxuemin2010 2010-7-25 42615 wanwzy 2010-7-29 10:03
在NIOS II 里面将采集回来的数据用串口发给电脑,同时在1602液晶上面显示,液晶上显示正 number007cool 2010-7-27 63141 number007cool 2010-7-28 20:01
verilog 一个简单的串口程序,编译通不过 gamehorse 2010-7-27 12084 suipeng70 2010-7-28 11:52
FPGA进出人系统求助! wolfdong7 2009-12-20 434511 wanwzy 2010-7-28 11:29
500万门级的FPGA芯片要多少钱? xiaocanmeng 2010-7-27 22744 ngzhang 2010-7-28 10:39
高端FPGA的供电方案(virtex-5) jlqamark 2010-7-27 92619 cfqxdgr 2010-7-27 20:30
ISE设置问题,急!!!!!!!!!!!!! wanwzy 2010-7-27 22252 wanwzy 2010-7-27 14:44
急,请问怎么简单直接的烧录EPM3064的程序啊,用什么软件? 在线等... ynking 2010-7-27 44338 ynking 2010-7-27 11:42
问个μC/OS 问题。 40130064 2010-7-27 01974 40130064 2010-7-27 11:41
请教一下各位,用USB下载线能直接通过JTAG口将EPM240内的程序读出来吗? marrt.80 2010-7-26 62544 marrt.80 2010-7-27 09:36
哪位有GX-SOPC-EP3C55-FBGA484核心板 实验仪等光盘上传一下!!!! guolh 2010-7-27 02559 guolh 2010-7-27 09:29
请大家推荐一款TFT屏 liu_xf 2010-7-7 163529 bj-stm8 2010-7-26 09:32
如何把大量语音文件放进NANDFLASH(K9F5608U0D)里 zjm520 2009-12-20 82942 leafchenye 2010-7-26 09:21
请教VHDL高手__按键加减 wolfdong7 2010-7-26 22337 shinehjx 2010-7-26 07:36
谁来讲讲LCD彩屏种类! 40130064 2010-7-20 92906 asust2p4 2010-7-25 22:17
如何进行有符号小数乘法运算?(Verilog) maqingbiao 2010-7-25 05591 maqingbiao 2010-7-25 20:12
请下载了ispLEVER8.1并可以用的朋友能帮忙回复一下!!! yiwei0397 2010-7-14 72890 yiwei0397 2010-7-25 14:57
为啥有的开发板采用专用的flash芯片,有的开发板没要,只用了sdram和epcs芯片,比如瑞哥的 ababvic 2010-7-18 42404 arokh 2010-7-24 18:34
编译出错,搜了好久,也没有一个正确的解释! kingreat 2010-7-23 22847 kingreat 2010-7-24 08:23
发个资料 NIOSII那些事rev1.0.pdf vipcff 2010-7-23 32305 avic 2010-7-24 01:16
请教定点数的乘法!!! maqingbiao 2010-7-23 12571 tear086 2010-7-23 15:33
请大家帮忙检查 kingreat 2010-7-21 72408 kingreat 2010-7-23 14:03
新手报道啦,希望关照 sunemnqsu 2010-7-22 22032 sunemnqsu 2010-7-23 09:53
请教关于Quartus的CIC滤波器IP核使用 fanping250 2010-7-14 33212 at90s 2010-7-22 21:45
求助ep3c JTAG 检测不到芯片 电路图对么 johu 2010-6-9 127970 turbolag 2010-7-22 20:04
FPGA I/O与外部器件接口的延时问题 icbear 2010-7-22 42624 wanwzy 2010-7-22 19:03
关于NIOS II中位域使用的几点疑问 number007cool 2010-7-21 82624 tear086 2010-7-22 14:53
求XILINX SPARTAN 3E的例程,自己写的,或给个下载地址也行啊 li0o0o0o0o0o 2010-7-22 12150 li0o0o0o0o0o 2010-7-22 11:44
麻烦熟悉校验的DX帮忙看看下面的数据大概用的什么算法 fenglouto 2010-7-22 11947 franklinjin 2010-7-22 11:32
我来报到了,有谁可领路? cuicui93110 2010-7-22 21971 cuicui93110 2010-7-22 10:52
请问下,这个68013下载器是用什么驱动,找不到原来的驱动光盘了,据说是原先是下载 alt wkman 2010-7-11 83537 281229961 2010-7-22 09:27
恳请“tear086 .COM 缺氧”继续传特权视频后面的26-35啊,谢谢! aboluo2007 2010-7-21 42420 tear086 2010-7-22 07:51
ISE综合错误:Failed to synthesize logic for signal mowenhui28 2010-7-21 02275 mowenhui28 2010-7-21 20:00
求助:怎么实现时钟与放向信号转成正交编码输出信号 lae129 2010-7-21 32120 40130064 2010-7-21 17:25
求助!关于温补晶振输出放大问题 vivian002 2010-7-21 11920 suipeng70 2010-7-21 15:09
初学者请教,时钟50MHz,如下程序是否能产生1us、1ms、1s的时钟 modelsim 2010-7-19 33500 modelsim 2010-7-21 13:01
单片机堆栈问题.(这人多哦,就发这了) gibson08 2010-7-20 93731 aaa1982 2010-7-21 11:30
NIOS II中,在关掉定时器后,再打开定时器的一些时间问题 number007cool 2010-7-19 12182 number007cool 2010-7-20 19:22
菜鸟,求助。关于ISE 11的安装问题 li0o0o0o0o0o 2010-7-20 01839 li0o0o0o0o0o 2010-7-20 17:01
想开发千兆以太网,有人用过broadcom的BCM5464的么 jlqamark 2010-7-20 22678 jlqamark 2010-7-20 15:44
在NIOS II里面能不能单独对位进行操作? number007cool 2010-7-19 72392 number007cool 2010-7-20 10:50
Quartus 10.0使用中遇到问题 linkin8834 2010-7-5 73197 p.nicholas 2010-7-20 10:15
下一页 »

快速发帖

友情提示: 您当前发帖的板块是:FPGA。反政府言论将被立即封锁ID 。发错分论坛、标题不合格、重复发帖、大量使用大字体和彩色字,都是违规。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。点此查看更多发帖规则
还可输入 80 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-6-14 14:16

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

返回顶部 返回版块