搜索
收藏本版 (107) |订阅

FPGA 今日: 0|主题: 10367|排名: 13 

作者 回复/查看 最后发表
分享一下,攒人品!自己写的NIOS II下的SPI IP attachment RUANJI 2015-2-22 183004 LVmcu 2015-11-4 13:42
ALTERA & INTEL 的最新结晶品 attach_img kenson 2015-6-15 273249 LVmcu 2015-11-4 13:16
没钱开饭,出块板子 attach_img jssd 2015-10-19 303785 jssd 2015-11-4 09:15
做64个串口设备,用什么方案好? microcreat 2015-11-2 262727 adongliu 2015-11-4 06:32
R61509部分中文DATASHEET xiaoxiaoli 2011-8-31 85862 LVmcu 2015-11-3 13:40
怎样消除chipscope对逻辑的影响? xiaoyangshanren 2015-11-2 11026 zkf0100007 2015-11-2 20:40
问几个使用DDR2RAM时遇到的问题 attach_img prow 2015-11-1 1994 prow 2015-11-2 12:01
modelsim仿真出现问题 与狼共舞 2015-11-1 21562 与狼共舞 2015-11-1 18:59
cdc文件的加入对工程有影响吗? 新人帖 xiaoyangshanren 2015-10-27 71763 zkf0100007 2015-10-31 16:38
基于CPLD+AD2S1200实现的旋变解码 ctk520 2011-7-22 3711454 s梦想s 2015-10-30 16:30
quartus12.1sp1 支持的dsp builder 版本有哪些,当前matlab为R2013a hsc0588 2015-10-29 0890 hsc0588 2015-10-29 17:29
时钟约束 xiaoyangshanren 2015-10-28 21301 tjuspring001 2015-10-29 16:56
ERROR:Place:1205 - This design contains a global buffer instance attach_img xiaoyangshanren 2015-10-29 01970 xiaoyangshanren 2015-10-29 16:54
quartus ii 下载cpld的时候,CFM、UFM两个选项的作用是什么 attach_img wq_601840968 2015-10-28 54158 wq_601840968 2015-10-29 14:23
问一个关于signaltap的问题 attach_img prow 2015-10-28 61079 prow 2015-10-28 17:53
ISE设计报告 attach_img xiaoyangshanren 2015-10-28 1853 yanghengxu 2015-10-28 15:51
RAM读写后仿真 attach_img xiaoyangshanren 2015-10-28 2935 xiaoyangshanren 2015-10-28 10:08
FPGA中时序收敛的含义,请高手解释一下。 AUTORs哈 2013-9-14 112600 xiaoyangshanren 2015-10-28 08:58
请问ALTERA的这两种BGA封装有什么不同? attach_img liangd123654 2015-10-19 101531 nyc1125 2015-10-27 12:01
modelsim-altera仿真nco 出现“Instantiation of 'oper_mux' failed.” attach_img hsc0588 2015-10-26 21202 skycomm 2015-10-27 10:17
ARM与FPGA之间的数据传输(出现问题,请高手帮忙,附源程序) liu_jing_yang 2010-2-24 308945 越事故乡名 2015-10-26 22:15
MegaCore Function Generation Error IP Functional Simulation Model Creation Fa... attach_img hsc0588 2015-10-26 12088 hsc0588 2015-10-26 10:37
FPGA模拟ps2协议 FORDREAM0 2015-10-19 71419 FORDREAM0 2015-10-26 09:19
verilog里面如何判断同一个时钟的上升和下降沿 attach_img chhaich 2015-10-12 134336 chhaich 2015-10-25 19:36
求助:quartus 调用modelsim-altera仿真IPcore Error loading design attach_img hsc0588 2015-10-24 52092 hsc0588 2015-10-24 14:16
CycloneIV EP4CE30 EPCS16无法BOOT NIOSII系统的问题 新人帖 回眸的兔子 2015-10-16 31281 回眸的兔子 2015-10-22 09:04
quartus 哪个版本比较稳定 支持cyclone V attach_img hsc0588 2015-10-21 01434 hsc0588 2015-10-21 15:02
V5-FPGA-GTX差分接收端口接地问题 lpandadp 2015-10-19 31433 tangwei039 2015-10-20 06:29
请教2段程序基本一样,竟然1段能运行1段不能运行verilog语言 attach_img cdust 2015-10-15 231322 hantnt 2015-10-19 19:06
交换机本身的MAC地址是否会有重复的情况出现呢 ? atom100 2015-10-18 41386 vtte 2015-10-19 09:50
分享一个已下载好的郭天祥十天学会CPLD视频 与狼共舞 2015-10-16 162292 xh_telecom 2015-10-19 08:38
QuartusII +modelsim-altera的后仿真 VHDL版 attach_img suxilong 2013-3-4 163532 WM_CH 2015-10-18 11:51
如何把FPGA的V文件编译成不可见代码的IP核 小LV要加油 2015-10-12 51599 wwuchang 2015-10-17 21:12
记得以前有一个帖子是关于cy7c68013的里面包含了好多驱动 735953120@qq.co 2015-10-17 0921 735953120@qq.co 2015-10-17 00:31
世上最强板图(28层板,16片Virtex-4 LX200)【恢复】 durgy 2008-11-7 7115549 ece123 2015-10-16 23:13
QuartusII 12.0 发布 , 含和谐文件 attachment heatlevel  ...2 aureole 2012-6-14 15522343 thyewfty 2015-10-13 12:53
最近在调试AX88180,MACID读写总是为0,请帮忙建议建议 attachment zxq6 2014-4-13 51180 bugls 2015-10-13 09:17
如何让等精度频率计实时更新数据? orange-208 2012-10-11 61843 cdust 2015-10-12 20:38
请问坛子里的有哪位用Verilog做过图像JPEG硬件压缩吗? attachment willX 2015-10-11 53480 tjuspring001 2015-10-12 11:36
发一个自己写的VGA的avalon总线IP核 attachment jianfengxixi 2015-10-5 51486 252514251 2015-10-11 22:00
有没有好的Verilog视频教程,请推荐一下 FORDREAM0 2015-10-8 51096 qs6361036 2015-10-11 21:14
Verilog里面怎么判断2个信号是相同的? cdust 2015-10-11 33355 linjpxt 2015-10-11 17:48
请教为何我的同步FIFO没有写入操作usedw就为1? DanielDeng 2015-10-8 11627 jm2011 2015-10-9 09:33
大家 写fpga代码,是习惯用状态机还是用延时处理 ? atom100 2015-9-14 61700 jesse2012 2015-10-8 11:47
ise软件有没有类似于quartus ii handbook之类的 说明书? atom100 2015-10-7 0886 atom100 2015-10-7 22:04
verilog的 inter ,real, time,realtime只能用于仿真吧? attach_img atom100 2015-10-7 42663 atom100 2015-10-7 22:02
有没有专门讲解FPGA用于数字信号处理的好书 attachment 七弦桐 2013-10-6 202146 sjx000000 2015-10-6 22:17
请教有源晶振和FPGA之间用电容或者电阻连接有什么区别? Jason022 2015-9-25 63472 tennokoe 2015-10-5 14:56
跪求ModelSim_Altera 新人帖 marshallplan 2015-7-28 51086 marshallplan 2015-9-26 08:48
求教FPGA测试两路信号同时为上升沿/下降沿。 ngyg12 2011-8-15 123291 dr2001 2015-9-24 15:24
请教, 有没有FPGA LVDS信号专用的座子? sohappyoh 2015-9-23 72107 zgxcom123 2015-9-24 13:41
NIOS 中 *(short *)&buf[1]=0x1234,buf没存储到正确数值 sjx000000 2015-9-24 2857 sjx000000 2015-9-24 11:50
新手求问,FPGA生成的RTL图是不是一定要学会看懂 新人帖 kingcrum 2015-8-27 257426 sjx000000 2015-9-24 11:49
一个从16M RC振荡器 得到标准32K时钟的问题 chenchaoting 2015-9-23 71975 chenchaoting 2015-9-23 23:13
【咨询】可替换LATTICE的LFXP3-3T144C/3TN144C的FPGA sunfulong 2015-9-23 21143 20061002838 2015-9-23 17:30
xilinx下DCM级联PLL的问题,pll的驱动 几个always模块,出问题 attach_img atom100 2015-9-21 51755 atom100 2015-9-23 09:31
如何保证 DCM PLL在一个CMT内呢? atom100 2015-9-23 0858 atom100 2015-9-23 08:30
晕死,quartus 15.0总是去找一个工程里没有的sdc文件 sme 2015-7-28 32645 destiny、 2015-9-22 16:44
大家都在哪些职位耕耘呢? tjuspring001 2015-9-16 162007 tjuspring001 2015-9-22 10:59
ise 开发环境下,可以这样初始化 寄存器吗 ? attach_img atom100 2015-9-17 142304 atom100 2015-9-21 20:36
特权《深入浅出玩转FPGA/CPLD》:视频教程配套的部分例程源码 andriy 2010-6-13 4913324 gxh470873852 2015-9-20 12:44
十天学会CPLD视频(郭大侠)分享 attach_img heatlevel xulong20006 2012-10-27 394484 WERWER 2015-9-20 12:21
<=和=符号的区别,请各位大虾解惑 attach_img zxq6 2015-9-19 61468 jm2011 2015-9-20 09:20
cpld,串口调试分享和疑问 attachment leicai05 2014-12-29 51511 thyewfty 2015-9-19 23:42
FPGA 双摄像头输入 LCD色差输出 attach_img liyang53719 2015-2-17 172635 liyang53719 2015-9-19 14:06
ASIC设计和FPGA设计有啥区别 ? atom100 2015-9-8 51391 atom100 2015-9-19 03:59
大家 做 时序仿真吗 ? atom100 2015-9-15 71267 lyl520719 2015-9-19 03:43
FPGA的中文翻译是现场课编程门阵列,“现场”如何理解? yuloong 2015-9-16 151826 liuchuanxhu 2015-9-18 22:49
ise warning的报警出错位置哪里看? attach_img atom100 2015-9-17 32211 dr2001 2015-9-18 08:11
verilog条件赋值能嵌套吧? atom100 2015-8-14 62153 YFM 2015-9-17 19:45
请教关于verilog中if-else的行为问题 ccen12345 2015-8-27 143666 ccen12345 2015-9-17 10:32
寻找Quartus 13 器件库 attach_img 596142041 2015-9-16 21245 tjuspring001 2015-9-17 08:46
xilinx 的 dcm 或 PLL 的 locked 输出只接到DCM或pll的 reset atom100 2015-9-14 3869 atom100 2015-9-16 06:48
这个FPGA XC3S250 相当于多大容量的通用MCU,咋选型呢? attach_img MyAVR 2015-9-14 152197 MyAVR 2015-9-15 21:59
分频的时钟信号能否作为always的时钟? atom100 2015-9-3 242831 aegean2000 2015-9-15 17:15
求助FPGA控制从opencore上下载的CAN IP核程序 新人帖 wenhao0217 2015-9-9 21903 wenhao0217 2015-9-15 11:11
DDR2的仿真 模拟电路发烧友 2015-9-15 0928 模拟电路发烧友 2015-9-15 08:33
FPGA和高速ADC时钟供给问题~ attach_img 小LV要加油 2015-9-11 62584 dr2001 2015-9-14 22:22
看到xilinx的spantan 6里 clcoking wizard有个jitter unit,啥意思? atom100 2015-9-14 0852 atom100 2015-9-14 06:53
锁存器 latch有用吗 ? atom100 2015-9-13 71170 mcupro 2015-9-13 23:53
spantan 6一个10m的输入时钟 如何产生 100m和 25m的时钟? atom100 2015-9-11 144049 YFM 2015-9-13 21:08
贡献一本好书, Verilog HDL程序设计实例详解 attachment heatlevel anan2008 2012-2-13 8314987 boy1986 2015-9-12 23:27
UCOS II IAR-AVR 更改路径后编译错误 求助 attach_img hpdell 2012-6-6 31688 hpdell 2015-9-11 14:20
求个NIOSII开发人员 castiello 2015-9-9 161910 PSP2000 2015-9-11 11:51
spantan-6 的 一个输入pin的clk能否作为两个pll的输入? atom100 2015-9-10 2824 atom100 2015-9-11 02:55
verilog hdl 状态机设计实例 tangjie0928 2011-10-16 72619 deep7blue 2015-9-10 21:24
画了个FPGA测试用的PCB,找喷子来了 attach_img wye11083 2015-1-18 365327 机械电子协会 2015-9-10 20:48
哪位大神做过液晶驱动板么? chinamaken 2015-9-10 2804 iwinstone 2015-9-10 17:51
VGA视频采集 卡住了,请大神指点下~ attach_img 337zhang 2015-7-13 232969 ericdai 2015-9-10 00:20
关于4.3寸液晶驱动时钟Dclk大小的选择有一些疑问 attach_img kingcrum 2015-9-8 71195 zhangyidao 2015-9-9 09:52
大家的是否用过Synplify综合工具用 ? atom100 2015-9-9 4975 gwj221 2015-9-9 09:49
从外部管脚进来的一个时钟能否驱动 两个pll 锁相环? atom100 2015-9-8 3815 NJ8888 2015-9-8 22:07
initial 这个初始化语句 只能用在仿真代码里吧 ? atom100 2015-9-3 114246 dr2001 2015-9-8 07:47
数字转模拟芯片的选择(>16位精度,采集速率>100,线性相位 pengyuan0820 2015-9-5 41110 atom100 2015-9-8 03:49
摄像头采集的图像会断片! waft_wind 2015-8-27 21122 atom100 2015-9-8 03:46
看到一个资料,下面这样分类 对不对? atom100 2015-9-8 0818 atom100 2015-9-8 00:49
ALTERA EP1C6嵌入MAC实现网络通信--分享 新人帖 attach_img brightsallyok 2014-5-5 142439 guolh_bj 2015-9-7 16:45
谁能帮忙解释下FPGA用那么多的时钟管脚有什么作用?刚接触这个不太清楚.感觉一个时钟 fxzlxgy 2009-2-22 63421 kongethan 2015-9-7 10:05
Xilinx Platform Cable USB下载线制作资料 精华 attachment digest heatlevel liuying 2012-3-30 449390 plq 2015-9-6 11:21
3D摄像头可行性? wildgoose0712 2014-5-5 132319 熵之矢 2015-9-5 08:36
下一页 »

快速发帖

友情提示: 您当前发帖的板块是:FPGA。反政府言论将被立即封锁ID 。发错分论坛、标题不合格、重复发帖、大量使用大字体和彩色字,都是违规。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。点此查看更多发帖规则
还可输入 80 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-5-11 00:07

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

返回顶部 返回版块