搜索
收藏本版 (107) |订阅

FPGA 今日: 0|主题: 10367|排名: 36 

作者 回复/查看 最后发表
A3P030竟然可以解密? sohappyoh 2016-1-6 143870 hl1200aa 2016-1-7 09:30
第一次画的FPGA核心板,请高手多虐 attachment suebillt 2015-4-11 385161 suebillt 2016-1-6 23:53
modelsim时序仿真时遇到的问题,请高手指教! attach_img yuloong 2016-1-5 52066 jm2011 2016-1-6 16:37
请问有没有试过使用STM32F101做主控,制作过USB Blaster仿真器? default 2015-10-12 133018 huangqi412 2016-1-6 12:29
转让一块FPGA开发板,功能齐全! attach_img ZhangFei1990//. 2016-1-4 152392 ZhangFei1990//. 2016-1-5 13:46
图灵电子 FPGA设计实战 清晰完整版 精华 attach_img digest heatlevel  ...2 sky_prince 2013-5-15 19517078 薄积无发 2016-1-5 10:18
EP4CE6F22和EPCS4的电平问题 Archer_Emiya 2016-1-4 31490 河图洛书 2016-1-4 17:17
借神坛求ALTERA 的FPGA开发板一块 attach_img chensi007 2015-4-21 313124 rerainings 2016-1-3 11:14
分享AlteraFPGA视频教程资料 attach_img cyberspice 2015-12-3 1984 rerainings 2016-1-3 11:09
ep4c系列的e144封装的,芯片底部有个接地的焊盘怎么处理 attach_img yxm433 2012-12-28 2711515 wangrb 2016-1-2 15:24
FPGA工程换FPGA芯片编译,应该注意哪些问题啊? 新人帖 attach_img 阿胆开工了 2016-1-1 122915 wye11083 2016-1-2 10:51
vhdl std_logic 和verilog的某个变量有对应关系 吗? atom100 2016-1-1 11207 avrwoo 2016-1-1 21:48
能否帮我分析下下面源码中关于Task系统任务调用可行? attach_img xiaozuowei118 2015-12-30 51267 xiaozuowei118 2016-1-1 14:01
开源ZYNQ 16层PCB,Altium designer格式 attachment heatlevel huchl 2015-3-24 6411634 passage110 2015-12-29 09:33
最近弄FFT 弄了点资料 怕以后会忘记 精华 attachment digest heatlevel  ...2 li0709 2012-4-9 17821493 tainan9 2015-12-29 08:34
VHDL Test Bench 资源共享 学VHDL真的资料太少 attachment WM_CH 2015-12-18 61287 sywong 2015-12-28 17:40
分享Altera.FPGA入门及提高教程(大小1.75g) cyberspice 2015-12-3 82571 zhang_ourdev 2015-12-27 21:26
急求,能否提供关于开发板fpga与cy7c68013A的测试例程 attachment ffbiao 2012-9-13 162853 mrlee866 2015-12-26 15:55
对论坛上MCU通过FPGA/CPLD驱动LCD设计的一些个人分析与总结 fishplj2000 2012-3-1 94281 xly2014 2015-12-25 09:35
CPLD/FPGA TFT 一点经验分享交流 zgq800712 2011-1-20 7413736 xly2014 2015-12-25 09:34
求大侠推荐学习FPGA的书 与狼共舞 2015-10-15 101656 WM_CH 2015-12-23 21:34
想用双路500M ADC做数据采集,有没有好的方案推荐? xtrig 2015-12-17 113434 PGR 2015-12-22 13:16
准备用FPGA做FFT,需要能计算出20Mhz的频率,采样频率50Mhz够... zhd1021 2015-12-19 61963 YFM 2015-12-20 11:58
求助,有关VHDL与Verilog中时钟上升沿的判断问题 wjdb3 2015-12-18 21441 WM_CH 2015-12-18 22:15
Xilinx FPGA开发实用教程 yypdou 2011-1-4 225546 pioneerlong 2015-12-18 11:16
有谁用FPGA做过CPU吗? xu18861886 2015-8-17 194428 gxh470873852 2015-12-16 22:53
quartus 和 modelsim中的注意事项 fakeCode 2015-7-14 34051 gxh470873852 2015-12-16 22:52
最近在做用FPGA做图像的白平衡算法,有做过的大神没,可... 735953120@qq.co 2015-12-9 82012 735953120@qq.co 2015-12-15 22:27
verilog 如何实现高低位颠倒 caijl 2015-12-9 1017867 jr9910 2015-12-13 23:51
关于FPGA连续写入FIFO后NiosII读取数据错误的问题 attach_img wuq_cody 2015-12-13 02033 wuq_cody 2015-12-13 17:54
关于使用FPGA设计秒表的问题 attach_img y623704481 2015-12-10 141376 sme 2015-12-10 15:13
原创教程 轻松搞定FPGA,手写VGA、触摸屏、贪吃蛇、钢琴 新人帖 heatlevel  ...234 芯天地 2014-5-20 39926228 308594151 2015-12-9 15:44
EP3C25的83脚有什么特殊的吗,做PS2输入无法实现功能 attach_img huang518489 2015-12-8 31157 huang518489 2015-12-9 12:32
分享fpga入门系列实验教程 cyberspice 2015-12-5 101752 kentxiong 2015-12-9 11:14
RAM中的COE文件深度 xiaoyangshanren 2015-12-8 0989 xiaoyangshanren 2015-12-8 15:02
USB2.0接口设计 yuloong 2015-12-8 21256 yuloong 2015-12-8 13:50
请问哪位大侠有CY7C68013A USB2.0的上位机程序?万分感谢! yuloong 2015-12-7 21359 liquan1010 2015-12-8 07:59
CPLD根据输入波形 产生一波形仿真正确,下载后不正常 attach_img linbo411 2015-12-7 71162 NJ8888 2015-12-7 12:39
MATLAB 已经生成Verilog,有兴趣的可以一块研究下 tigeroser 2015-12-2 126377 justin_n 2015-12-7 08:43
请帮忙分析CPLD综合后生成的8分频电路 attach_img linbo411 2015-12-3 191510 jm2011 2015-12-5 13:47
最近在学习FPGA发一本书 -佛听- 2015-6-24 132131 大笨蛋1990 2015-12-4 10:55
分享EDA技术36讲 attach_img cyberspice 2015-12-3 21013 zhanyanqiang 2015-12-4 09:11
分享一些ALTERA官方视频 attach_img cyberspice 2015-12-3 21052 hnxoj26 2015-12-3 22:25
quartus中如何查看全局时钟网络的分配情况? attach_img prow 2015-11-21 72404 prow 2015-12-3 21:09
分享一些CPLD系统设计方面的资料 attach_img cyberspice 2015-12-3 0870 cyberspice 2015-12-3 19:23
分享一些NIOSII视频教程和ppt资料 cyberspice 2015-12-3 0967 cyberspice 2015-12-3 19:18
quartus中硬件调试 guo407214944 2015-11-4 143211 guo407214944 2015-12-3 17:11
增量式PID算法初始值的问题 attach_img 蓝色风暴@FPGA 2015-12-3 01127 蓝色风暴@FPGA 2015-12-3 13:12
请教LVDS信号连接RS422的电路 新人帖 MINGYU40 2014-10-25 194794 苔痕 2015-12-3 11:17
我是新手,想问下EPM240的资源能做什么 longwu911 2011-8-4 102292 armok 2015-12-3 08:53
xilinx要出Spartan®-7,Spartan系列FPGA后继有人了 scar 2015-11-24 132118 javabean 2015-12-1 23:12
xilinx是否有 ISE开发环境的说明书呢? atom100 2015-11-22 61229 gxh470873852 2015-12-1 23:00
ALTERA MAX10官方评估板,新鲜出炉,上图了! heatlevel  ...2 avic 2014-10-23 1019083 mrlee866 2015-12-1 14:59
有个项目,使用FPGA模拟转换大数据量传输 dantherman 2015-11-24 262797 dantherman 2015-11-30 19:13
cyclone系列的fpga配置选择管脚MSEL[3..0]管脚电平问题 attach_img zchong 2015-11-30 11539 prow 2015-11-30 09:22
CPLD如何根据一个输入波形输出如图的波形 attach_img linbo411 2015-11-26 672870 linbo411 2015-11-30 09:13
FPGA资料汇总分享 attachment liuxi1989 2015-6-1 121982 sjx000000 2015-11-29 10:37
写了一个单稳态触发电路 attach_img RAMILE 2014-9-24 11620 linbo411 2015-11-28 17:26
哪里能买到ALTERA Arria V器件?最小封装即可,谢谢! muok@sohu.com 2014-11-16 81402 zgxcom123 2015-11-28 10:07
ise的chipscope中添加的信号不是以定义的总线来显示? atom100 2015-11-27 0995 atom100 2015-11-27 22:11
ISE添加了chipscope,incorrect bits of number? atom100 2015-11-27 0661 atom100 2015-11-27 22:08
想要学习CPLD,请大家推荐一本入门的书!(已买了EMP240的开发板) z31com 2011-2-17 164366 apachectl 2015-11-27 13:54
基于FPGA的触摸屏控制系统设计--我的毕业设计,让您见笑了 新人帖 attachment prayer_jian 2013-1-4 152698 njhying 2015-11-26 22:32
ispLEVER_Classic_Base_2_0安装破解问题 attach_img fan313220 2015-11-25 02835 fan313220 2015-11-25 22:01
XDS100V3自制时如何下载固件 attach_img rf_smart 2015-7-19 81930 iwqt1983 2015-11-25 10:29
分module代码与整个module代码综合一样吗? xiaoyangshanren 2015-11-24 1845 jm2011 2015-11-25 09:48
请教FPGA中几个重要参数在编译报告的位置 林飞飞 2015-11-24 0932 林飞飞 2015-11-24 10:33
actel FPGA调试问题 attach_img dog52010000 2015-11-23 31961 dog52010000 2015-11-24 09:24
Analog 的AD7476参考设计跑不起来 有偿求解 yt920419 2015-11-23 0970 yt920419 2015-11-23 12:26
做了个USB BLASTER tkdr2001 2009-8-23 175435 模拟电路发烧友 2015-11-23 08:39
OV7670 + FPGA + SDRAM + CRT640*480 (计划两个星期完成) attach_img heatlevel  ...2 zxl2431 2010-5-29 14231226 iqxt88 2015-11-22 11:57
请教FPGA NIOS 浮点printf无法使用。 attach_img CK345 2015-11-19 101312 CK345 2015-11-21 20:35
OV7670摄像头开发指南详解(企业版) 这个谁有? attachment heatlevel chunk999 2012-7-1 406310 我是一个大白菜 2015-11-21 08:47
上传好书一本 Writing Testbench 中英文版 attachment heatlevel pular0820 2013-5-11 8310800 我是一个大白菜 2015-11-21 08:45
出一些CPLD的资源,欢迎下载 osoon2008 2011-2-24 113930 LVmcu 2015-11-20 22:31
收一块xilinx kintex-7、virtex-7 原厂的开发板 attach_img bedlamitemcu 2015-8-3 182394 max1992 2015-11-18 00:27
FPGA开发板需求 attach_img liyanlong55 2013-2-18 61929 gxh470873852 2015-11-16 22:28
发个简单的CRC7,CRC16算法及FPGA实现(用于SDIO) attach_img wye11083 2013-5-16 43738 LVmcu 2015-11-16 08:55
DE2TV 简化版代码 attachment zhang_ourdev 2013-2-18 31358 LVmcu 2015-11-16 08:54
DE2 PCB图 CGHA_76 2009-12-24 33255 LVmcu 2015-11-16 08:50
通一个模块例化多次是都一样吗? xiaoyangshanren 2015-11-13 52268 回眸的兔子 2015-11-13 15:43
CPLD输出几HZ的方波怎样实现才能耗资源最少? linbo411 2015-11-4 233057 xiaobenyi 2015-11-13 15:28
咨询niosII如何使用打印串口信息到文本 wuq_cody 2015-11-13 21038 回眸的兔子 2015-11-13 15:26
用CycloneIV的TQFP144封装的要注意了 attach_img reynold007 2012-3-25 3710733 liyang53719 2015-11-12 16:14
综合中OBUF是什么作用? xiaoyangshanren 2015-11-6 82567 xiaoyangshanren 2015-11-12 14:06
哪位能帮忙下载 vivado+zedboard之audio驱动,谢谢! qzh 2015-11-11 71212 tohom3a3 2015-11-12 12:34
Modelsim仿真ASK时无法读入外部文件数据,求助! attach_img Chash 2015-11-11 51522 Chash 2015-11-12 11:06
终于搞定BGA的Cyclone IV芯片了。(有图有真相) attach_img shappy 2013-7-31 326436 DWDM 2015-11-12 02:41
问一下,FPGA的编程语言选择什么比较好 thy110 2015-11-9 214274 gxh470873852 2015-11-11 23:06
有没有人做够ARM+FPGA自动控制的FPGA部分 attach_img lhao2199 2012-4-19 285313 芯天地 2015-11-9 20:20
关于FPGA模拟ps2协议相关问题 FORDREAM0 2015-11-8 2935 FORDREAM0 2015-11-9 16:09
FPGA 能否驱动大个液晶屏,比如7寸的? german010 2012-10-20 346064 rerainings 2015-11-9 14:31
ise的调试环境 chipscope烧写代码 并退出后,重连? atom100 2015-11-6 21058 slan 2015-11-7 16:33
想学一下如何用FPGA去控制高分辨率液晶屏,选什么开发板? bias 2015-11-6 31447 bias 2015-11-6 22:58
固定频率输入可以任意分频和倍频吗? 281229961 2015-10-30 92606 as9901 2015-11-6 16:16
FPGA学习心得------------计数器的显示 attach_img 735953120@qq.co 2015-8-17 363399 javabean 2015-11-6 11:31
初学者FPGA芯片选型问题和FPGA开发板选择的问题 wangtianyang0 2015-9-15 152893 XP85118978 2015-11-5 23:28
请教!使用FPGA对全彩LED屏控制 CEO 2015-4-8 162482 ttbboo 2015-11-5 16:40
CPLD如何实现 一个引脚输入频率,从另外一个脚输出? attach_img linbo411 2015-11-4 61823 zxq6 2015-11-4 21:47
共享FPGA资料(VERILOG) attachment FSWXLGX 2015-5-30 92097 wuzhpo720 2015-11-4 21:37
下一页 »

快速发帖

友情提示: 您当前发帖的板块是:FPGA。反政府言论将被立即封锁ID 。发错分论坛、标题不合格、重复发帖、大量使用大字体和彩色字,都是违规。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。点此查看更多发帖规则
还可输入 80 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-5-10 15:40

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

返回顶部 返回版块