搜索
收藏本版 (65) |订阅

正点原子 今日: 3 |主题: 1409|排名: 15 

作者 回复/查看 最后发表
嵌入式程序架构--你了解多少? attach_img 正点原子 2021-12-20 13219 unifax001 2021-12-21 15:30
手把手制作简单的GUI-Button控件 attach_img 正点原子 2021-12-20 13294 Halley 2021-12-21 11:51
【震撼首发】T65智能电烙铁,P400脱机下载器全网首发,双12优惠 attachment 正点原子 2021-12-12 357247 正点原子 2021-12-18 21:42
如何使用FSMC让OLED屏幕刷新率飞起来? attach_img 正点原子 2021-12-16 43516 dog 2021-12-17 22:44
【干货分享】以图形式浅谈RTOS切换任务 attach_img 正点原子 2021-12-16 02791 正点原子 2021-12-16 18:48
《领航者ZYNQ之FPGA开发指南_V2》第三十六章 OV5640PL以太网视频 attach_img 正点原子 2021-12-15 03088 正点原子 2021-12-15 18:27
【新品发布】P400一拖四脱机下载器发布,四通道同步烧录 attach_img 正点原子 2021-12-14 02744 正点原子 2021-12-14 15:00
《领航者ZYNQ之FPGA开发指南_V2》第三十五章 OV7725的PL以太网传输 attach_img 正点原子 2021-12-10 03684 正点原子 2021-12-10 17:30
嵌入式工程师必备技能--软件仿真 attach_img 正点原子 2021-12-2 13072 bbbbbv 2021-12-2 16:36
正点原子Linux学习资料又更新啦!欢迎下载学习,感谢支持! attach_img 正点原子 2021-11-24 33954 bigharpoon 2021-12-2 00:10
【围观直播】OneOS多平台移植与适配 基于RISC-V/ARM架构 attach_img 正点原子 2021-11-27 02820 正点原子 2021-11-27 16:48
《领航者ZYNQ之FPGA开发指南_V2》第三十四章 以太网UDP测试实验 attach_img 正点原子 2021-11-25 03098 正点原子 2021-11-25 18:30
嵌入式工程师必备技能--如何使用示波器查看IIC波形 attach_img 正点原子 2021-11-17 15159 f1701447 2021-11-17 19:21
《领航者ZYNQ之FPGA开发指南_V2》第三十三章 以太网ARP测试实验 attach_img 正点原子 2021-11-16 04494 正点原子 2021-11-16 18:37
请教一下原子例程的LED灯程序在PROTEUS中的闪烁问题 ZHAOBAO511 2021-11-16 02712 ZHAOBAO511 2021-11-16 14:46
【新品上市】正点原子ZYNQ小型系统板FPGA XILINX attach_img 正点原子 2021-11-13 13987 chenchaoting 2021-11-14 17:29
《领航者ZYNQ之FPGA开发指南_V2》第三十二章 MDIO接口读写测试实验 attach_img 正点原子 2021-11-13 04768 正点原子 2021-11-13 16:53
《领航者ZYNQ之FPGA开发指南_V2》第三十一章 交通灯实验 attach_img 正点原子 2021-11-13 03375 正点原子 2021-11-13 16:21
《领航者ZYNQ之FPGA开发指南_V2》第三十章 IO扩展模块实验 attach_img 正点原子 2021-11-13 03473 正点原子 2021-11-13 16:10
《领航者ZYNQ之FPGA开发指南_V2》第二十九章 双路高速AD实验 attach_img 正点原子 2021-11-13 03357 正点原子 2021-11-13 16:04
《领航者ZYNQ之FPGA开发指南_V2》第二十八章 双路高速DA实验 attach_img 正点原子 2021-11-12 03409 正点原子 2021-11-12 18:01
《领航者ZYNQ之FPGA开发指南_V2》第二十七章 DDS信号发生器实验 attach_img 正点原子 2021-11-12 03829 正点原子 2021-11-12 16:21
《领航者ZYNQ之FPGA开发指南_V2》第二十六章 高速AD/DA实验 attach_img 正点原子 2021-11-12 03365 正点原子 2021-11-12 16:08
《领航者ZYNQ之FPGA开发指南_V2》第二十五章 频率计实验 attach_img 正点原子 2021-11-12 02881 正点原子 2021-11-12 15:37
《领航者ZYNQ之FPGA开发指南_V2》第二十四章 RTC实时时钟LCD显示 attach_img 正点原子 2021-11-11 03601 正点原子 2021-11-11 17:13
《领航者ZYNQ之FPGA开发指南_V2》第二十三章 EEPROM读写测试实验 attach_img 正点原子 2021-11-11 03598 正点原子 2021-11-11 16:55
《领航者ZYNQ之FPGA开发指南_V2》第二十二章 HDMI方块移动实验 attach_img 正点原子 2021-11-11 02820 正点原子 2021-11-11 16:40
《领航者ZYNQ之FPGA开发指南_V2》第二十一章 HDMI彩条显示实验 attach_img 正点原子 2021-11-11 03532 正点原子 2021-11-11 16:36
嵌入式面试必备知识点-SPI attach_img 正点原子 2021-11-10 02756 正点原子 2021-11-10 18:41
你知道怎么用STM32控制舵机吗? attach_img 正点原子 2021-11-9 63756 cbailjc 2021-11-10 17:22
《领航者ZYNQ之FPGA开发指南_V2》第二十章 LCD触摸屏实验 attach_img 正点原子 2021-11-9 03461 正点原子 2021-11-9 17:31
《领航者ZYNQ之FPGA开发指南_V2》第十九章 RGB LCD字符和图片显示 attach_img 正点原子 2021-11-9 02958 正点原子 2021-11-9 16:57
《领航者ZYNQ之FPGA开发指南_V2》第十八章 RGB LCD彩条显示实验 attach_img 正点原子 2021-11-9 03265 正点原子 2021-11-9 16:46
《领航者ZYNQ之FPGA开发指南_V2》第十七章 RS485串口通信实验 attach_img 正点原子 2021-11-9 03398 正点原子 2021-11-9 16:18
《领航者ZYNQ之FPGA开发指南_V2》第十六章 UART串口通信实验 attach_img 正点原子 2021-11-9 03229 正点原子 2021-11-9 16:09
《领航者ZYNQ之FPGA开发指南_V2》第十五章 IP核之FIFO实验 attach_img 正点原子 2021-11-8 02940 正点原子 2021-11-8 18:02
《领航者ZYNQ之FPGA开发指南_V2》第十四章 IP核之RAM实验 attach_img 正点原子 2021-11-8 02979 正点原子 2021-11-8 17:51
《领航者ZYNQ之FPGA开发指南_V2》第十三章 IP核之MMCM/PLL实验 attach_img 正点原子 2021-11-8 03052 正点原子 2021-11-8 17:38
《领航者ZYNQ之FPGA开发指南_V2》第十二章 呼吸灯实验 attach_img 正点原子 2021-11-8 02638 正点原子 2021-11-8 17:27
嵌入式必备知识-IIC协议原理解析 attach_img 正点原子 2021-11-8 02713 正点原子 2021-11-8 10:44
《领航者ZYNQ之FPGA开发指南_V2》第十一章 触摸按键控制LED灯实验 attach_img 正点原子 2021-11-6 02681 正点原子 2021-11-6 16:23
《领航者ZYNQ之FPGA开发指南_V2》第十章 按键控制蜂鸣器实验 attach_img 正点原子 2021-11-6 02867 正点原子 2021-11-6 16:16
《领航者ZYNQ之FPGA开发指南_V2》第九章 按键控制LED实验 attach_img 正点原子 2021-11-6 02644 正点原子 2021-11-6 16:10
《领航者ZYNQ之FPGA开发指南_V2》第八章 LED灯闪烁实验 attach_img 正点原子 2021-11-6 02906 正点原子 2021-11-6 16:04
如何从HAL库中找到寄存器的蛛丝马迹 attach_img 正点原子 2021-10-22 43279 tclg 2021-11-6 12:42
如何使用OLED实现滚动效果 attach_img 正点原子 2021-11-6 03752 正点原子 2021-11-6 10:10
《领航者ZYNQ之FPGA开发指南_V2》第七章 Verilog HDL语法 attach_img 正点原子 2021-11-5 03077 正点原子 2021-11-5 11:54
《领航者ZYNQ之FPGA开发指南_V2》第六章 Notepad++软件的安装 attach_img 正点原子 2021-11-5 02867 正点原子 2021-11-5 11:37
《领航者ZYNQ之FPGA开发指南_V2》第五章 Modelsim软件的安装和使用 attach_img 正点原子 2021-11-5 02675 正点原子 2021-11-5 11:03
来聊一下,指针到底是何方神圣? attach_img 正点原子 2021-11-4 83617 1a2b3c 2021-11-5 10:32
《领航者ZYNQ之FPGA开发指南_V2》第四章 Vivado软件的安装和使用 attach_img 正点原子 2021-11-3 02372 正点原子 2021-11-3 17:24
《领航者ZYNQ之FPGA开发指南_V2》第三章 硬件资源详解 attach_img 正点原子 2021-11-3 03113 正点原子 2021-11-3 17:18
《领航者ZYNQ之FPGA开发指南_V2》第二章 实验平台简介 attach_img 正点原子 2021-11-3 05146 正点原子 2021-11-3 17:11
如何使用OLED显示图片 attach_img 正点原子 2021-11-3 02947 正点原子 2021-11-3 10:15
《领航者ZYNQ之FPGA开发指南_V2》第一章 ZYNQ简介 attach_img 正点原子 2021-11-2 03293 正点原子 2021-11-2 17:36
如何理解链表与数组呢? attach_img 正点原子 2021-11-2 13177 ordman 2021-11-2 12:38
《新起点V2之FPGA开发指南》第五十六章 双目OV5640摄像头HDMI attach_img 正点原子 2021-11-1 02539 正点原子 2021-11-1 15:10
《新起点V2之FPGA开发指南》第五十五章 双目OV5640摄像头RGB-LCD attach_img 正点原子 2021-11-1 02927 正点原子 2021-11-1 15:05
Ubuntu磁盘空间不足?一招轻松扩容 attach_img 正点原子 2021-10-30 33680 qwe2231695 2021-10-30 15:16
《新起点V2之FPGA开发指南》第五十四章 OV5640摄像头的数字识别 attach_img 正点原子 2021-10-29 02916 正点原子 2021-10-29 17:26
《新起点V2之FPGA开发指南》第五十三章 OV5640摄像头Sobel边缘检测 attach_img 正点原子 2021-10-29 03062 正点原子 2021-10-29 16:24
《新起点V2之FPGA开发指南》第五十二章 基于OV5640摄像头的二值化 attach_img 正点原子 2021-10-29 02577 正点原子 2021-10-29 16:13
STM32开发中常用的C语言知识点 attach_img 正点原子 2021-10-26 53723 szmachine 2021-10-28 23:14
STM32如何使用arduino_ide进行开发 attach_img 正点原子 2021-10-27 95012 solojimes 2021-10-28 16:37
《新起点V2之FPGA开发指南》第五十一章 基于OV5640摄像的中值滤波 attach_img 正点原子 2021-10-28 03073 正点原子 2021-10-28 16:18
《新起点V2之FPGA开发指南》第五十章 OV5640摄像头LCD灰度显示实验 attach_img 正点原子 2021-10-28 02658 正点原子 2021-10-28 16:13
《新起点V2之FPGA开发指南》第四十九章 OV5640摄像头HDMI灰度显示 attach_img 正点原子 2021-10-28 02524 正点原子 2021-10-28 16:05
《新起点V2之FPGA开发指南》第四十八章 SD卡读BMP图片HDMI显示实验 attach_img 正点原子 2021-10-26 03022 正点原子 2021-10-26 11:08
《新起点V2之FPGA开发指南》第四十七章 SD卡读BMP图片LCD显示实验 attach_img 正点原子 2021-10-25 02838 正点原子 2021-10-25 17:55
如何完善buildroot构架出来的根文件系统的库? W872529868 2021-10-21 93840 W872529868 2021-10-23 22:59
《新起点V2之FPGA开发指南》第四十五章 FLASH读写实验 attach_img 正点原子 2021-10-22 02426 正点原子 2021-10-22 13:05
《新起点V2之FPGA开发指南》第四十四章 MT9V034摄像头HDMI显示实验 attach_img 正点原子 2021-10-22 02520 正点原子 2021-10-22 12:46
《新起点V2之FPGA开发指南》第四十三章 MT9V034摄像头RGB attach_img 正点原子 2021-10-19 02956 正点原子 2021-10-19 18:52
《新起点V2之FPGA开发指南》第四十二章 OV5640摄像头HDMI显示实验 attach_img 正点原子 2021-10-19 03223 正点原子 2021-10-19 18:47
《新起点V2之FPGA开发指南》第四十一章 OV5640摄像头RGB-LCD显示 attach_img 正点原子 2021-10-14 03101 正点原子 2021-10-14 17:57
《新起点V2之FPGA开发指南》第四十章 OV7725摄像头HDMI显示 attach_img 正点原子 2021-10-14 02680 正点原子 2021-10-14 17:42
《新起点V2之FPGA开发指南》第三十八章 SDRAM读写测试实验 attach_img 正点原子 2021-10-13 02562 正点原子 2021-10-13 18:04
《新起点V2之FPGA开发指南》第三十七章 双路高速AD实验 attach_img 正点原子 2021-10-13 02471 正点原子 2021-10-13 17:58
《新起点V2之FPGA开发指南》第三十六章 双路高速DA实验 attach_img 正点原子 2021-10-13 02527 正点原子 2021-10-13 17:25
《新起点V2之FPGA开发指南》第三十五章 高速AD/DA实验 attach_img 正点原子 2021-10-12 03067 正点原子 2021-10-12 15:39
《新起点V2之FPGA开发指南》第三十四章 RGB-LCD触摸屏实验 attach_img 正点原子 2021-10-12 02855 正点原子 2021-10-12 15:22
《新起点V2之FPGA开发指南》第三十三章 环境光传感器实验 attach_img 正点原子 2021-10-12 02528 正点原子 2021-10-12 15:19
《新起点V2之FPGA开发指南》第三十二章 RTC钟LCD显示 attach_img 正点原子 2021-10-11 02713 正点原子 2021-10-11 16:14
《新起点V2之FPGA开发指南》第三十一章 RTC时钟数码管显示 attach_img 正点原子 2021-10-11 02485 正点原子 2021-10-11 16:03
《新起点V2之FPGA开发指南》第三十章 EEPROM读写测试实验 attach_img 正点原子 2021-10-11 02633 正点原子 2021-10-11 15:38
《新起点V2之FPGA开发指南》第二十九章 频率计实验 attach_img 正点原子 2021-10-11 02421 正点原子 2021-10-11 15:33
《新起点V2之FPGA开发指南》第二十八章 DHT11数字温湿度传感器 attach_img 正点原子 2021-10-10 02699 正点原子 2021-10-10 16:59
《新起点V2之FPGA开发指南》第二十七章 DS18B20数字温度传感器 attach_img 正点原子 2021-10-10 02194 正点原子 2021-10-10 16:54
《新起点V2之FPGA开发指南》第二十六章 红外遥控实验 attach_img 正点原子 2021-10-10 02539 正点原子 2021-10-10 14:49
《新起点V2之FPGA开发指南》第二十五章 HDMI方块移动实验 attach_img 正点原子 2021-10-10 02440 正点原子 2021-10-10 12:46
《新起点V2之FPGA开发指南》第二十四章 HDMI彩条显示实验 attach_img 正点原子 2021-10-9 02771 正点原子 2021-10-9 17:16
《新起点V2之FPGA开发指南》第二十三章 RGB-LCD字符和图片显示 attach_img 正点原子 2021-10-9 02536 正点原子 2021-10-9 14:53
《新起点V2之FPGA开发指南》第二十二章 RGB-LCD彩条显示实验 attach_img 正点原子 2021-10-9 02435 正点原子 2021-10-9 12:52
《新起点V2之FPGA开发指南》第二十一章 UART串口通信实验 attach_img 正点原子 2021-10-9 02291 正点原子 2021-10-9 12:28
《新起点V2之FPGA开发指南》第二十章 IP核之FIFO实验 attach_img 正点原子 2021-10-8 02481 正点原子 2021-10-8 17:38
《新起点V2之FPGA开发指南》第十九章 IP核之双端口RAM实验 attach_img 正点原子 2021-10-8 02415 正点原子 2021-10-8 17:33
《新起点V2之FPGA开发指南》第十八章 IP核之单端口RAM实验 attach_img 正点原子 2021-10-8 02759 正点原子 2021-10-8 17:19
《新起点V2之FPGA开发指南》第十七章 IP核之PLL实验 attach_img 正点原子 2021-10-8 02847 正点原子 2021-10-8 16:29
《新起点V2之FPGA开发指南》第十六章 交通灯实验 attach_img 正点原子 2021-9-30 03328 正点原子 2021-9-30 12:31
《新起点V2之FPGA开发指南》第十五章 IO扩展模块实验 attach_img 正点原子 2021-9-30 03039 正点原子 2021-9-30 12:27
下一页 »

快速发帖

友情提示: 您当前发帖的板块是:正点原子。反政府言论将被立即封锁ID 。发错分论坛、标题不合格、重复发帖、大量使用大字体和彩色字,都是违规。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。点此查看更多发帖规则
还可输入 80 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-9-20 22:14

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

返回顶部 返回版块