搜索
收藏本版 (65) |订阅

正点原子 今日: 0|主题: 1258|排名: 112 

作者 回复/查看 最后发表
《领航者ZYNQ之FPGA开发指南_V2》第三章 硬件资源详解 attach_img 正点原子 2021-11-3 02668 正点原子 2021-11-3 17:18
《领航者ZYNQ之FPGA开发指南_V2》第二章 实验平台简介 attach_img 正点原子 2021-11-3 04597 正点原子 2021-11-3 17:11
如何使用OLED显示图片 attach_img 正点原子 2021-11-3 02491 正点原子 2021-11-3 10:15
《领航者ZYNQ之FPGA开发指南_V2》第一章 ZYNQ简介 attach_img 正点原子 2021-11-2 02879 正点原子 2021-11-2 17:36
如何理解链表与数组呢? attach_img 正点原子 2021-11-2 12664 ordman 2021-11-2 12:38
《新起点V2之FPGA开发指南》第五十六章 双目OV5640摄像头HDMI attach_img 正点原子 2021-11-1 02215 正点原子 2021-11-1 15:10
《新起点V2之FPGA开发指南》第五十五章 双目OV5640摄像头RGB-LCD attach_img 正点原子 2021-11-1 02554 正点原子 2021-11-1 15:05
Ubuntu磁盘空间不足?一招轻松扩容 attach_img 正点原子 2021-10-30 33087 qwe2231695 2021-10-30 15:16
《新起点V2之FPGA开发指南》第五十四章 OV5640摄像头的数字识别 attach_img 正点原子 2021-10-29 02618 正点原子 2021-10-29 17:26
《新起点V2之FPGA开发指南》第五十三章 OV5640摄像头Sobel边缘检测 attach_img 正点原子 2021-10-29 02739 正点原子 2021-10-29 16:24
《新起点V2之FPGA开发指南》第五十二章 基于OV5640摄像头的二值化 attach_img 正点原子 2021-10-29 02252 正点原子 2021-10-29 16:13
STM32开发中常用的C语言知识点 attach_img 正点原子 2021-10-26 53421 szmachine 2021-10-28 23:14
STM32如何使用arduino_ide进行开发 attach_img 正点原子 2021-10-27 94282 solojimes 2021-10-28 16:37
《新起点V2之FPGA开发指南》第五十一章 基于OV5640摄像的中值滤波 attach_img 正点原子 2021-10-28 02652 正点原子 2021-10-28 16:18
《新起点V2之FPGA开发指南》第五十章 OV5640摄像头LCD灰度显示实验 attach_img 正点原子 2021-10-28 02399 正点原子 2021-10-28 16:13
《新起点V2之FPGA开发指南》第四十九章 OV5640摄像头HDMI灰度显示 attach_img 正点原子 2021-10-28 02257 正点原子 2021-10-28 16:05
《新起点V2之FPGA开发指南》第四十八章 SD卡读BMP图片HDMI显示实验 attach_img 正点原子 2021-10-26 02704 正点原子 2021-10-26 11:08
《新起点V2之FPGA开发指南》第四十七章 SD卡读BMP图片LCD显示实验 attach_img 正点原子 2021-10-25 02416 正点原子 2021-10-25 17:55
如何完善buildroot构架出来的根文件系统的库? W872529868 2021-10-21 93155 W872529868 2021-10-23 22:59
《新起点V2之FPGA开发指南》第四十五章 FLASH读写实验 attach_img 正点原子 2021-10-22 02122 正点原子 2021-10-22 13:05
《新起点V2之FPGA开发指南》第四十四章 MT9V034摄像头HDMI显示实验 attach_img 正点原子 2021-10-22 02230 正点原子 2021-10-22 12:46
《新起点V2之FPGA开发指南》第四十三章 MT9V034摄像头RGB attach_img 正点原子 2021-10-19 02536 正点原子 2021-10-19 18:52
《新起点V2之FPGA开发指南》第四十二章 OV5640摄像头HDMI显示实验 attach_img 正点原子 2021-10-19 02823 正点原子 2021-10-19 18:47
《新起点V2之FPGA开发指南》第四十一章 OV5640摄像头RGB-LCD显示 attach_img 正点原子 2021-10-14 02699 正点原子 2021-10-14 17:57
《新起点V2之FPGA开发指南》第四十章 OV7725摄像头HDMI显示 attach_img 正点原子 2021-10-14 02369 正点原子 2021-10-14 17:42
《新起点V2之FPGA开发指南》第三十八章 SDRAM读写测试实验 attach_img 正点原子 2021-10-13 02137 正点原子 2021-10-13 18:04
《新起点V2之FPGA开发指南》第三十七章 双路高速AD实验 attach_img 正点原子 2021-10-13 02179 正点原子 2021-10-13 17:58
《新起点V2之FPGA开发指南》第三十六章 双路高速DA实验 attach_img 正点原子 2021-10-13 02258 正点原子 2021-10-13 17:25
《新起点V2之FPGA开发指南》第三十五章 高速AD/DA实验 attach_img 正点原子 2021-10-12 02752 正点原子 2021-10-12 15:39
《新起点V2之FPGA开发指南》第三十四章 RGB-LCD触摸屏实验 attach_img 正点原子 2021-10-12 02418 正点原子 2021-10-12 15:22
《新起点V2之FPGA开发指南》第三十三章 环境光传感器实验 attach_img 正点原子 2021-10-12 02269 正点原子 2021-10-12 15:19
《新起点V2之FPGA开发指南》第三十二章 RTC钟LCD显示 attach_img 正点原子 2021-10-11 02450 正点原子 2021-10-11 16:14
《新起点V2之FPGA开发指南》第三十一章 RTC时钟数码管显示 attach_img 正点原子 2021-10-11 02189 正点原子 2021-10-11 16:03
《新起点V2之FPGA开发指南》第三十章 EEPROM读写测试实验 attach_img 正点原子 2021-10-11 02252 正点原子 2021-10-11 15:38
《新起点V2之FPGA开发指南》第二十九章 频率计实验 attach_img 正点原子 2021-10-11 02169 正点原子 2021-10-11 15:33
《新起点V2之FPGA开发指南》第二十八章 DHT11数字温湿度传感器 attach_img 正点原子 2021-10-10 02278 正点原子 2021-10-10 16:59
《新起点V2之FPGA开发指南》第二十七章 DS18B20数字温度传感器 attach_img 正点原子 2021-10-10 01920 正点原子 2021-10-10 16:54
《新起点V2之FPGA开发指南》第二十六章 红外遥控实验 attach_img 正点原子 2021-10-10 02275 正点原子 2021-10-10 14:49
《新起点V2之FPGA开发指南》第二十五章 HDMI方块移动实验 attach_img 正点原子 2021-10-10 02171 正点原子 2021-10-10 12:46
《新起点V2之FPGA开发指南》第二十四章 HDMI彩条显示实验 attach_img 正点原子 2021-10-9 02389 正点原子 2021-10-9 17:16
《新起点V2之FPGA开发指南》第二十三章 RGB-LCD字符和图片显示 attach_img 正点原子 2021-10-9 02266 正点原子 2021-10-9 14:53
《新起点V2之FPGA开发指南》第二十二章 RGB-LCD彩条显示实验 attach_img 正点原子 2021-10-9 02168 正点原子 2021-10-9 12:52
《新起点V2之FPGA开发指南》第二十一章 UART串口通信实验 attach_img 正点原子 2021-10-9 02043 正点原子 2021-10-9 12:28
《新起点V2之FPGA开发指南》第二十章 IP核之FIFO实验 attach_img 正点原子 2021-10-8 02104 正点原子 2021-10-8 17:38
《新起点V2之FPGA开发指南》第十九章 IP核之双端口RAM实验 attach_img 正点原子 2021-10-8 02036 正点原子 2021-10-8 17:33
《新起点V2之FPGA开发指南》第十八章 IP核之单端口RAM实验 attach_img 正点原子 2021-10-8 02444 正点原子 2021-10-8 17:19
《新起点V2之FPGA开发指南》第十七章 IP核之PLL实验 attach_img 正点原子 2021-10-8 02441 正点原子 2021-10-8 16:29
《新起点V2之FPGA开发指南》第十六章 交通灯实验 attach_img 正点原子 2021-9-30 02871 正点原子 2021-9-30 12:31
《新起点V2之FPGA开发指南》第十五章 IO扩展模块实验 attach_img 正点原子 2021-9-30 02540 正点原子 2021-9-30 12:27
《新起点V2之FPGA开发指南》第十四章 动态数码管显示实验 attach_img 正点原子 2021-9-30 02597 正点原子 2021-9-30 12:23
《新起点V2之FPGA开发指南》第十三章 静态数码管显示实验 attach_img 正点原子 2021-9-29 02536 正点原子 2021-9-29 16:11
《新起点V2之FPGA开发指南》第十二章 呼吸灯实验 attach_img 正点原子 2021-9-29 02401 正点原子 2021-9-29 15:55
《新起点V2之FPGA开发指南》第十一章 触摸按键控制LED灯实验 attach_img 正点原子 2021-9-29 01929 正点原子 2021-9-29 15:50
《新起点V2之FPGA开发指南》第十章 按键控制蜂鸣器实验 attach_img 正点原子 2021-9-28 02617 正点原子 2021-9-28 17:25
《新起点V2之FPGA开发指南》第九章 按键控制LED灯实验 attach_img 正点原子 2021-9-28 02083 正点原子 2021-9-28 17:16
《新起点V2之FPGA开发指南》第八章 LED灯流水实验 attach_img 正点原子 2021-9-28 02051 正点原子 2021-9-28 17:09
《新起点V2之FPGA开发指南》第一章 FPGA简介 attach_img 正点原子 2021-9-26 53242 D.lovers 2021-9-27 22:38
《新起点V2之FPGA开发指南》第七章 Verilog HDL语法 attach_img 正点原子 2021-9-27 02455 正点原子 2021-9-27 16:21
《新起点V2之FPGA开发指南》第六章 Notepad++软件的安装 attach_img 正点原子 2021-9-27 02323 正点原子 2021-9-27 15:30
《新起点V2之FPGA开发指南》第五章 Modelsim软件的安装和使用 attach_img 正点原子 2021-9-27 02435 正点原子 2021-9-27 15:20
《新起点V2之FPGA开发指南》第四章 Quartus II软件的安装和使用 attach_img 正点原子 2021-9-27 02364 正点原子 2021-9-27 14:55
《新起点V2之FPGA开发指南》第三章 硬件资源详解 attach_img 正点原子 2021-9-26 03655 正点原子 2021-9-26 18:28
《新起点V2之FPGA开发指南》第二章 实验平台简介 attach_img 正点原子 2021-9-26 03091 正点原子 2021-9-26 17:39
请教正点原子IMX6U 设备节点创建问题 chenchaoting 2021-9-21 01960 chenchaoting 2021-9-21 20:06
【正点原子FPGA连载】第二十九章USB通信实验 attach_img 正点原子 2020-11-25 16375 dyk1110 2021-9-18 10:27
《I.MX6U嵌入式Linux C应用编程指南》第二十九章 音频应用编程 attach_img 正点原子 2021-9-3 13724 lyz3432 2021-9-12 22:37
【首期收官】28天STM32工程师成长历程 attach_img 正点原子 2021-9-9 103894 liao-ljj 2021-9-10 14:12
正点原子是否有计划在STM32F1上出基于CubeMX+HAL库的教程? rclong 2021-5-13 44439 yhyhyh668 2021-9-6 08:14
请教STM32F103C8与TM1638通信乱码的原因? fangmcu 2021-9-3 72877 1a2b3c 2021-9-4 20:40
请教一下为何用HAL库编写程序,下载完要手动复位才能运行? fangmcu 2021-9-2 113516 fangmcu 2021-9-3 12:42
《I.MX6U嵌入式Linux C应用编程指南》第二十八章 看门狗应用编程 attach_img 正点原子 2021-8-31 03545 正点原子 2021-8-31 18:09
《I.MX6U嵌入式Linux C应用编程指南》第二十七章 串口应用编程 attach_img 正点原子 2021-8-28 02658 正点原子 2021-8-28 18:47
《I.MX6U嵌入式Linux C应用编程指南》第二十六章 V4L2摄像头 attach_img 正点原子 2021-8-27 02610 正点原子 2021-8-27 18:15
《STM32MP157嵌入式Linux驱动开发指南》第三章 Linux C编程入门 attach_img 正点原子 2021-6-8 14285 cat3902982 2021-8-26 23:46
《I.MX6U嵌入式Linux C应用编程指南》第二十五章 PWM应用编程 attach_img 正点原子 2021-8-26 02868 正点原子 2021-8-26 16:52
《I.MX6U嵌入式Linux C应用编程指南》第二十四章 在LCD上显示字符 attach_img 正点原子 2021-8-26 03517 正点原子 2021-8-26 15:57
《I.MX6U嵌入式Linux C应用编程指南》第二十三章 LCD横屏切竖屏 attach_img 正点原子 2021-8-26 04055 正点原子 2021-8-26 15:32
《I.MX6U嵌入式Linux C应用编程指南》第二十一章 在LCD上显示jpeg attach_img 正点原子 2021-8-25 02794 正点原子 2021-8-25 15:40
《I.MX6U嵌入式Linux C应用编程指南》第十九章 使用tslib库 attach_img 正点原子 2021-8-25 04256 正点原子 2021-8-25 15:09
《I.MX6U嵌入式Linux C应用编程指南》第二十二章 PWM应用编程 attach_img 正点原子 2021-8-25 02521 正点原子 2021-8-25 12:27
《I.MX6U嵌入式Linux C应用编程指南》第二十章 FrameBuffer应用编程 attach_img 正点原子 2021-8-23 03069 正点原子 2021-8-23 16:59
《I.MX6U嵌入式Linux C应用编程指南》第十八章 输入设备应用编程 attach_img 正点原子 2021-8-21 02583 正点原子 2021-8-21 16:12
《I.MX6U嵌入式Linux C应用编程指南》第十七章 GPIO应用编程 attach_img 正点原子 2021-8-21 02901 正点原子 2021-8-21 16:00
《I.MX6U嵌入式Linux C应用编程指南》第十六章 点亮LED attach_img 正点原子 2021-8-20 02915 正点原子 2021-8-20 12:47
MP157 Mini Linux开发板发布啦,双A7+M4多核异构!还带4500多页教程 ! attach_img 正点原子 2021-8-19 64632 zhongsandaoren 2021-8-20 12:09
《I.MX6U嵌入式Linux C应用编程指南》第十五章 本篇总结 attach_img 正点原子 2021-8-20 02509 正点原子 2021-8-20 11:17
原子的开发板,配置了Sdram和ltdc驱动RGB屏,显示异常。 achild 2021-8-18 12625 achild 2021-8-19 11:47
《I.MX6U嵌入式Linux C应用编程指南》第十四章 高级I/O attach_img 正点原子 2021-8-18 12788 kanprin 2021-8-18 20:34
《I.MX6U嵌入式Linux C应用编程指南》第十三章 线程同步 attach_img 正点原子 2021-8-18 03016 正点原子 2021-8-18 15:05
《I.MX6U嵌入式Linux C应用编程指南》第十二章 线程 attach_img 正点原子 2021-8-17 03807 正点原子 2021-8-17 18:34
《I.MX6U嵌入式Linux C应用编程指南》第十一章 进程间通信简介 attach_img 正点原子 2021-8-17 02745 正点原子 2021-8-17 18:25
《I.MX6U嵌入式Linux C应用编程指南》第十章 进程 attach_img 正点原子 2021-8-17 03784 正点原子 2021-8-17 18:15
《I.MX6U嵌入式Linux C应用编程指南》第八章 信号:基础 attach_img 正点原子 2021-8-17 02798 正点原子 2021-8-17 15:01
《I.MX6U嵌入式Linux C应用编程指南》第七章 系统信息与系统资源 attach_img 正点原子 2021-8-17 03619 正点原子 2021-8-17 11:42
【快来报名】28天STM32速成班,你想知道的都在这里 attach_img 正点原子 2021-7-25 95244 liao-ljj 2021-8-17 11:05
《I.MX6U嵌入式Linux C应用编程指南》第六章 字符串处理 attach_img 正点原子 2021-8-13 03358 正点原子 2021-8-13 18:50
《I.MX6U嵌入式Linux C应用编程指南》第五章 文件属性与目录 attach_img 正点原子 2021-8-12 03382 正点原子 2021-8-12 15:37
《I.MX6U嵌入式Linux C应用编程指南》第四章 标准I/O库 attach_img 正点原子 2021-8-12 03430 正点原子 2021-8-12 12:57
《I.MX6U嵌入式Linux C应用编程指南》第三章 深入探究文件I/O attach_img 正点原子 2021-8-12 03607 正点原子 2021-8-12 12:50
《I.MX6U嵌入式Linux C应用编程指南》第二章 文件I/O基础 attach_img 正点原子 2021-8-11 02785 正点原子 2021-8-11 15:29
下一页 »

快速发帖

友情提示: 您当前发帖的板块是:正点原子。反政府言论将被立即封锁ID 。发错分论坛、标题不合格、重复发帖、大量使用大字体和彩色字,都是违规。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。点此查看更多发帖规则
还可输入 80 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-4-29 20:18

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

返回顶部 返回版块