搜索
收藏本版 (107) |订阅

FPGA 今日: 0|主题: 10372|排名: 10 

作者 回复/查看 最后发表
求助!关于LVDS信号的问题! wangqinfeng 2010-6-21 52648 Austin_Hsu 2010-6-22 11:57
上个入门级NIOS II C 程序 40130064 2010-5-26 63085 avic 2010-6-21 16:33
初入NIOS 2,问题求教! misssomuch 2010-6-6 112811 wjhltk 2010-6-21 15:29
FPGA 9325驱动程序 MCU_fans 2010-6-19 42584 MCU_fans 2010-6-19 23:41
verilog中阻塞与非阻塞的范围分别是多大?初学者的困惑! 10086RainEr 2010-4-6 123495 ababvic 2010-6-19 22:55
小数分频器 leofeng 2010-5-22 62831 NJ8888 2010-6-19 21:23
请问CYCLONE II(EP2C5Q208)的IO口能直接驱动ULN2803吗 bad_fpga 2010-6-18 01927 bad_fpga 2010-6-18 22:34
端午节好! tear086 2010-6-16 82322 semonpic 2010-6-18 19:41
康奈尔大学FPGA课程2008上线了【恢复】 atommann 2008-12-15 276358 shangdawei 2010-6-18 17:40
有人下载到了Quartus II 9.0正式版的么?请传我一个! 327433171 2009-10-10 83842 ksniper 2010-6-18 16:00
新手求一块FPGA开发板 fsy0215 2010-6-14 102859 ngzhang 2010-6-18 13:36
请问3S100E是什么样的芯片? jssd 2010-6-18 22545 h2feo4 2010-6-18 12:48
关于spartan3的VCCAUX wert2010 2010-5-18 33664 luan_dahai 2010-6-17 22:42
关于这种模式怎么做? user1314 2010-6-16 72250 semonpic 2010-6-17 21:33
新手,问个菜鸟问题,FPGA和CPU用总线方式连接,在FPGA上应该是用AVALON-MM的哪种方式? jerry2cool 2010-6-13 22312 avic 2010-6-17 17:47
传了点资料,讲FPGA中同步设计的重要性。请笑纳! racemaker 2010-6-13 92940 luan_dahai 2010-6-17 09:27
急求Sram读写问题解决方法 hbcb5676805 2010-5-25 12467 luan_dahai 2010-6-16 18:45
请大家推荐一下学习Verilog语言的经典教材 zbh-avr 2009-3-12 215300 luan_dahai 2010-6-16 17:15
请教,PFGA的IO口是否可设置为1。5V的电平?如图所示,手册上有说明的 bad_fpga 2010-6-16 22316 bad_fpga 2010-6-16 16:42
最近为了学FPGA,自己做的板子,手头多余几块,便宜赠送! modelsim 2010-5-28 154632 luan_dahai 2010-6-15 19:43
电脑主板上没有并口啊 !!!杯具啊!!!哪位大哥支个招!!! michael-zk 2010-5-24 267604 aureole 2010-6-15 19:02
有pudn帐号朋友请帮忙下个东西http://www.pudn.com/downloads171/ebook/detail79141 cafppla 2010-6-6 22402 format 2010-6-15 15:17
艾米电子的那位,问个fpga程序固化的问题 t2397362 2010-6-14 52897 tear086 2010-6-14 23:14
初学者 请教verilog设计8路彩灯控制器的程序 hjjk 2010-6-12 12489 hjjk 2010-6-14 21:06
有没有大连的,这几天有空的?有偿求助。(FPGA调试) xiangxinaiqing 2010-6-14 162732 ytiger 2010-6-14 20:23
这个程序 怎么看 大家帮忙看看啊 rongtianye 2010-6-14 01637 rongtianye 2010-6-14 17:31
大家说用NIOS II做无线传感网的网关速度能行么? gibson08 2010-6-14 22037 gibson08 2010-6-14 16:40
关于格雷码计数器和格雷码转二进制,大家来探讨一下。 semonpic 2010-6-14 13520 tear086 2010-6-14 16:39
紧急 VHDL语言 帮忙看看 有偿 rongtianye 2010-6-14 01994 rongtianye 2010-6-14 14:52
多功能处理器 40130064 2010-6-11 22320 40130064 2010-6-14 11:42
求DE0_D5M的代码 w__m 2010-6-13 02152 w__m 2010-6-13 16:34
cyclone 3的问题,到底片子烧了没? cfqxdgr 2010-6-11 52472 fengpc 2010-6-13 16:03
如何解决不焊JTAG接口的6PIN接插件,但又能解决烧写Flash芯片,因为正式做产品时,不希望 shixm.zh 2009-12-21 73565 fzfh1219 2010-6-13 11:35
如果只做扩展IO口用,什么型号的片子最适合产品使用。关注性价比。内详,多谢。。。 jerry2cool 2010-6-13 02157 jerry2cool 2010-6-13 10:06
请问那些CPLD是非易失性的 mobaojian 2010-6-9 52505 mobaojian 2010-6-13 09:53
关于always 的敏感信号列表 liu_xf 2010-6-11 94324 liu_xf 2010-6-13 08:06
请教一下在quartus里如何这样连线。。。。 t2397362 2010-6-5 32761 guke 2010-6-12 12:45
可以买到16脚的排阻吗?尺寸为6.5mm*5.3mm,220欧。我上淘宝上问了一圈都没有这个尺寸 xiangxinaiqing 2010-6-9 22212 wzj0713 2010-6-12 00:09
PS2遭遇瓶颈 lostmj 2010-6-11 02258 lostmj 2010-6-11 19:29
CYCLONE II 的FPGA(EP2C5Q208)的IO口最大能输出多少电流? bad_fpga 2010-6-11 02470 bad_fpga 2010-6-11 17:29
CPLD温度过高会出现什么状况? 40130064 2010-6-11 62507 dsp_meter 2010-6-11 12:50
用非阻塞型赋值,占空比不对。 yealien 2010-6-2 52269 mindrover 2010-6-11 01:42
2010 重磅山寨ARM9系统 armandusb 2010-6-3 113796 Excellence 2010-6-10 17:36
问一个DSP builder的问题 cwfboy 2010-6-6 11943 cwfboy 2010-6-10 16:31
FPGA中如何让信号delay一个ns wilson737 2010-6-2 83166 minux 2010-6-10 02:07
新手求助,Verilog编写SPI读取计数的问题 shinehjx 2010-6-9 32721 wangbo19852008 2010-6-9 22:54
新手求助 万分感谢 shuidilianqiu 2010-6-9 01842 shuidilianqiu 2010-6-9 14:43
关于MODELSIM仿真遇到的问题 jzd19851102 2010-6-9 22408 jzd19851102 2010-6-9 11:32
nios按键中断 sdudy 2010-6-8 11941 avic 2010-6-9 08:50
【求助】ModelSim怎么导出波形文件 oakpc 2010-6-7 26071 oakpc 2010-6-9 07:24
Synplify综合问题 wangbo19852008 2010-6-8 01923 wangbo19852008 2010-6-8 21:10
FPGA上两个IO口,A,B,我把它们同时连接外围器件C一个管脚,能不能让这两个IO口分别在不同 bad_fpga 2010-6-4 124527 h2feo4 2010-6-8 16:41
用ST的M25PXX系列替换ALTERA的EPCS john_wu 2010-4-29 95827 bad_fpga 2010-6-8 09:19
大家讨论一下"NIOSII和MicroBlaze的优劣?" mk163 2010-6-7 43709 akuei2 2010-6-8 05:21
一个问题:一个双口RAM的功能可以CPLD或者FPGA内部资源实现设计吗? ggg12345 2010-6-6 114418 ggg12345 2010-6-7 18:54
[问题] 利用 CPLD/FPGA 检查或者读取 IO 的电平,需要怎样的处理? akuei2 2010-6-7 22514 akuei2 2010-6-7 15:29
新手求助,是选xilinx还是Altera呢??? woshizhutou 2010-5-14 4412654 tear086 2010-6-7 14:02
求教:提升时钟频率后的时序问题,加入signaltap后编译报警,时序紊乱 sankesa 2009-12-4 23055 jlqamark 2010-6-6 10:39
跪求用vhdl生成正弦波的问题 henglong9752 2010-6-3 62770 laoxizi 2010-6-5 16:15
如何还原FPGA原工程(只有几个.v文件,qsf,rbf,sdc文件) zrx737 2010-6-4 02318 zrx737 2010-6-4 21:08
QuartusII元件库里面有没有电阻和电容的元器件? Al_xin 2010-5-30 88945 wahaha 2010-6-4 20:50
统计一下有多少人在用lattice的片子的 281229961 2010-4-4 133351 roasn 2010-6-4 15:53
8个7segment循环显示hello,给个思路,谢谢。 yf.x 2010-6-3 63112 yf.x 2010-6-4 13:05
请教各位:有没有08V8的PLD呢,最近看到个IC型号是AE08V8N 08BSCON01,估计是个PLD因为以 rayingpx 2010-6-1 22300 rayingpx 2010-6-4 09:47
请教一个计数器的问题 yf.x 2010-6-2 72287 40130064 2010-6-3 23:20
求助一个 关于QuartusII,编译过程中,出现的LVDS与TTL管脚之间间隔一定距离的问题。 vermon 2010-6-3 02475 vermon 2010-6-3 18:31
最近玩FPGA,哪位朋友有XC2S100相关资料 psocfans 2010-6-3 01886 psocfans 2010-6-3 16:53
请教如何倍频!!! wangqinfeng 2010-6-3 163689 wangqinfeng 2010-6-3 16:51
谁知道在quartus9.0里面怎么调试测试模块吗 maojian755 2010-6-3 01776 maojian755 2010-6-3 12:49
数控分频器,编译通过,仿真时为什么没有结果? chinaowlhx 2010-5-29 12020 x411001 2010-6-3 09:33
简单微处理器的设计.PDF AIHHLI 2010-6-2 82629 vipcff 2010-6-3 06:56
FPGA片内构建大容量FIFO与外置FIFO,哪个成本更低 wilson737 2010-6-1 113083 ngzhang 2010-6-2 22:38
有人弄过OPENCORES 的 UART IP 没有的? gibson08 2010-6-1 22154 gibson08 2010-6-2 22:06
谁能帮忙下载一份pudn的文档啊,关于textio的 leafing 2010-6-2 21892 leafing 2010-6-2 22:01
【问题】StratixII 器件管教IO电压的问题! ytiger 2010-6-1 32267 ytiger 2010-6-2 21:46
RAMB16_S16_S36 与 RAMB16_D16_D36 DanielDeng 2010-6-2 12546 DanielDeng 2010-6-2 16:53
晕了 Q2参数化的宏功能模块库双口RAM怎么用? 40130064 2010-6-2 32280 40130064 2010-6-2 13:34
如果能用JTAG烧写JIC文件的话,那板子可以不画AS接口了吧? bad_fpga 2010-6-1 83511 tear086 2010-6-2 09:31
问题:XILINX的USB1型下载线(HW-USB-G),能否用在Spartan6的器件上? ngzhang 2010-5-31 283761 ngzhang 2010-6-2 01:13
verilog数组初始化及双口RAM grubby01 2010-4-21 36767 lsw0136 2010-6-1 09:18
哪有altera-modelsim 6.5B下载啊? kyughanum 2010-5-31 43909 longquan 2010-5-31 21:22
老文件在新DEVICE上管脚分配的问题 jack888518 2010-4-15 11970 ddddllll 2010-5-31 21:21
求助,才学Verilog很多语法都不清楚。 shushi0123 2009-12-13 82738 gyhg1206 2010-5-31 20:41
频率计:20M的晶振做的频率计可不可以测10M TTL电平的频率 wolfdong7 2010-5-31 22198 wajlh 2010-5-31 14:47
最近调试BGA焊接的解决办法 nano 2010-5-28 113477 dickhou 2010-5-31 12:01
ACTEL 交流贴 SZSBS 2010-4-13 52854 SZSBS 2010-5-31 11:55
求助:Global Output Enable Input pins 281229961 2010-5-12 62671 281229961 2010-5-31 09:13
求解Verilog中二进制计数和十进制计数用于算术运算的区别?(找到问题所在了,思考算法中 andriy 2010-5-30 76506 andriy 2010-5-30 23:45
mcu总线到WishBone的转接 sllsky 2010-3-11 32343 gibson08 2010-5-30 23:35
cyclone II lvds IO 配置 470036398 2010-5-29 12717 wj414 2010-5-30 09:13
请教用VHDL的CCD驱动时序的设计 0609020210 2010-5-29 02247 0609020210 2010-5-29 23:50
320*240 RA8835控制单色LCD 测试 40130064 2010-5-29 23306 cuikai12345 2010-5-29 23:09
为什么时序仿真时输出正确结果,换成功能仿真反倒没有输出。 chinaowlhx 2010-5-29 01781 chinaowlhx 2010-5-29 22:25
如何用CPLD来驱动RGB接口的TFT? flyforyou85 2010-5-25 43040 kk2614755 2010-5-29 15:31
NIOS 位怎么用? 40130064 2010-5-29 31912 40130064 2010-5-29 15:30
480*234的26PIN模拟屏用CPLD+SRAM该如何驱动? ccwtn 2010-5-25 12603 gaochunzy 2010-5-29 15:14
MPEG-2 TS流与控制数据复用设计 lch002 2010-5-26 12108 gaochunzy 2010-5-29 15:05
有没人有QuartusII9.1sp2网络版的教程啊 liuyicheng 2010-5-29 02063 liuyicheng 2010-5-29 11:47
谁有CUPL语言的教程 flamingo 2010-5-26 23576 patrickwong 2010-5-29 01:50
关于VHDL语言中的赋值的问题! woshiyean 2010-5-26 82856 woshiyean 2010-5-28 20:14
下一页 »

快速发帖

友情提示: 您当前发帖的板块是:FPGA。反政府言论将被立即封锁ID 。发错分论坛、标题不合格、重复发帖、大量使用大字体和彩色字,都是违规。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。点此查看更多发帖规则
还可输入 80 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-6-10 13:53

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

返回顶部 返回版块