搜索
收藏本版 (107) |订阅

FPGA 今日: 0|主题: 10367|排名: 36 

作者 回复/查看 最后发表
TQFP 有没有座 williamrain 2010-2-20 32135 dspsharc 2010-2-20 13:57
谁有MAX plus II 10.2软件的下载链接 gaobingic 2010-1-26 34116 zc3909 2010-2-20 00:24
ADC0809实现0~5V范围、0.001V精度,能实现?? elsonx 2010-1-11 114054 gzhuli 2010-2-18 23:06
各位大侠好首先给各位拜年啦!---cpld fpga怎么查资料,要是有cpld 或者是fpga 的便宜 sunjie718 2010-2-17 12044 tear086 2010-2-18 12:49
这个程序为什么编译不通过呢?? abs123 2010-2-17 12082 abs123 2010-2-17 17:27
上传一个FPGA电路图,顶一下成都理工测控【恢复】 jianglitao2007 2008-10-31 93854 armok 2010-2-14 21:17
遇到个奇怪的问题一个产品上的FPGA芯片电源与地莫名其妙的短路了 smallsnail 2010-1-29 63536 eject 2010-2-13 16:50
请教:安装Quartus9.1时出现提示出错 panda1985 2009-12-12 64989 tear086 2010-2-10 21:58
今天才知道单色的液晶是可以做灰阶的! again 2010-1-16 83627 darklink 2010-2-9 10:49
请教:原理图如何转成VHDL STUDY123 2010-2-8 22238 STUDY123 2010-2-8 13:59
在Xilinx ise软件中 “Toggle Bookmark”(书签)有什么作用啊? hbchf 2010-2-8 01906 hbchf 2010-2-8 12:23
EPM2210的宏单元够用吗? wolfe 2010-1-24 73658 laodao01 2010-2-7 10:07
碰到怪事了 为什么我的cpld分频器程序少烧进去要等个大概一分钟才有反应啊... hbtswy 2010-1-7 113010 tear086 2010-2-7 00:42
SYNPLIFY综合失败,各位大哥帮小弟看下问题在哪呀? linuxyan 2010-2-6 13507 gwj221 2010-2-6 23:07
菜鸟问题,将PIO重命名后,nios的程序不工作了【恢复】 spidium 2008-12-4 93660 avic 2010-2-6 00:51
问下各位,我们在用verilog语言写算法的时候有顺序语句,当我们把它下载到芯片上去了,它 hbchf 2010-2-5 42530 NJ8888 2010-2-5 22:20
使用modelsim6.0和ise的时候出现了这样的提示,哪位大侠帮我分析分析,谢谢! hbchf 2010-2-4 22249 hbchf 2010-2-5 08:42
帮忙分析下ise编译的错误提示! hbchf 2010-2-3 44108 hbchf 2010-2-4 14:41
FPGA系统板的电源电路 怎样来设计呢? yxm433 2010-1-8 62584 RF_Xu 2010-2-3 00:34
如何使用兼容ARMv4架构的软核测量dhrystone MIPS free-arm 2010-2-2 03133 free-arm 2010-2-2 14:28
请高人指点 630641948 2010-2-2 41927 630641948 2010-2-2 13:36
高人指点!! 630641948 2010-2-2 01917 630641948 2010-2-2 11:13
谁有Synplify 9.6.2的下载地址? hyz_avr 2010-1-11 33948 gavinc 2010-2-2 10:26
关于自制GAL编程器 tom_2_2 2010-2-1 12208 XU_MAJIA 2010-2-1 20:33
各位大侠谁有RS232的资料啊?奉献下,在下感激不尽! hbchf 2010-2-1 62372 hbchf 2010-2-1 14:21
关于 FPGA和NIOS 占用EPCS4 FLASH空间大小的问题 lumengyunling 2010-2-1 22941 tear086 2010-2-1 12:26
新手请教!!! 630641948 2010-2-1 01710 630641948 2010-2-1 09:18
软核的意义何在? ralfak 2010-1-25 295017 free-arm 2010-1-29 20:50
verilog 多个文件综合 wsql 2010-1-28 12635 minux 2010-1-29 13:46
在无FLASH的CYCLONE板上跑NIOS方法 homecom 2007-9-16 275565 1181zjf 2010-1-29 11:56
verilog语言门级与算法级编写,那种效果好些? hbchf 2010-1-28 73307 zzsoft 2010-1-29 02:59
XILINX FPGA 全局时钟的用法 pdaabao 2008-8-25 42781 armok 2010-1-27 17:52
闲置的焊盘易脱落,有什么好方法吗? leafing 2010-1-15 83362 hy317 2010-1-27 15:13
大家来看看如何描述这个时序…… catking 2009-12-29 172939 catking 2010-1-27 10:24
关于 FPGA 编译方面的两个小问题…… catking 2010-1-13 42405 crom 2010-1-26 16:27
quartusII综合时到40%时就停止卡住了,不知道是什么问题 xml2028 2010-1-10 13008 sadlife1000 2010-1-26 14:38
参数定义parameter的使用,百思不解,请高手指点 yuangaoping 2010-1-26 13236 tear086 2010-1-26 09:29
温热一下本坛!矿大的朋友怎么都没人呢最近!FPGA高速处理图像! amadias1314 2009-8-1 173473 armok 2010-1-24 11:12
求助:FPGA与DSP如何连接通讯 swordjoe 2009-4-16 34437 armok 2010-1-24 10:50
<<FPGA 数字电子系统设计与开发实例导航>>一书光盘资 smallsnail 2008-7-31 83982 sadlife1000 2010-1-23 17:09
添加USBBlaster不成功,求助? FPGARM 2009-12-11 93877 FPGARM 2010-1-16 12:18
想买这块xilinx开发板,spartan-3A 评估套件,大家看看 simon51 2009-10-30 154557 dongzhiqing 2010-1-15 20:04
那个,咱们这里有没有同学要自己做开发板的呀?俺想凑个热闹。 laoxizi 2010-1-10 42624 liuexe 2010-1-15 01:24
请问有了解台湾友晶科技的吗? wangli1013 2010-1-9 65802 keyway 2010-1-15 00:34
单片机读写FPGA内部构建的双口RAM问题 dzf2222 2010-1-14 55123 NJ8888 2010-1-14 22:06
对FPGA的几个时钟引脚疑问? WXing 2010-1-12 66052 ngzhang 2010-1-14 16:50
初次画FPGA的板子,请高手看下有没有问题。 WXing 2010-1-14 33138 ngzhang 2010-1-14 16:49
cpld能否实现这样的功能? elemcudev 2010-1-1 32379 elemcudev 2010-1-14 13:59
quartusII 7.1在storage 中没有lpm_rom【恢复】 xinghuo1478 2008-12-9 44181 zzjjhh250 2010-1-13 17:59
求助:关于FPGA控制CS5532 22seu_08 2010-1-11 22305 22seu_08 2010-1-13 17:14
NIOS 编译出错 undefined reference to `main' lumengyunling 2010-1-13 87507 lumengyunling 2010-1-13 16:25
看datasheet时,总不明白的几个词。 WXing 2010-1-12 32428 WXing 2010-1-12 19:52
问一个FPGA多时钟输入的问题?? zhaofei_mz 2010-1-8 63008 zhaofei_mz 2010-1-12 09:39
新手求助,CPLD VGA实验后,液晶屏无法使用 classv 2010-1-11 82773 classv 2010-1-12 08:54
是否有哪位牛人做过FPGA控制CS5532 22seu_08 2009-12-23 33062 pigjiang 2010-1-11 22:50
请教关于vhdl顺序语句赋值的问题(只有4行代码) nionio 2009-11-27 22292 hbchf 2010-1-11 17:29
上传一份nios2中的c、c++函数原型的翻译文档 eagle1979 2007-12-11 63380 lumengyunling 2010-1-11 16:35
quartusII中的一个警告,不知道能否置之不管 xml2028 2010-1-10 13044 ngzhang 2010-1-11 01:28
epm240最小化电路图是不是只要3.3V,把那JTAG的几根线连出就就可以烧写程序了? abs123 2010-1-9 33127 baiyin 2010-1-10 11:46
谁能看懂这些代码????--! lzc520xm 2009-11-26 143344 lzc520xm 2010-1-9 10:31
急,急,急,求可用queartusii的FIR IPCORE的LICENSE文件。 FlashNuk 2010-1-8 12310 suipeng70 2010-1-8 17:51
有哪位高手能为我分析下如下线性移位寄存器代码?谢谢了! hbchf 2010-1-7 12096 nazily215 2010-1-7 22:59
关于verilog语言很简单的问题 hbchf 2010-1-7 42231 ngzhang 2010-1-7 15:01
大家有CPLD编程的ISP软件吗?最好体积比较小的。。知道的推荐下,新手 r-bmw 2010-1-7 42548 r-bmw 2010-1-7 13:42
求教:EP3C25E144I7这个芯片的背后封装有个铁片,这个事接地还是接VCC啊? xml2028 2009-12-11 42687 52robot 2010-1-6 20:41
布尔运算的合意定理有谁能推出来吗? hl1200aa 2010-1-5 22963 hl1200aa 2010-1-6 18:30
请高手分析下这代码错在哪里?谢谢了! hbchf 2010-1-6 54965 hbchf 2010-1-6 16:47
XIlinx ise10.0仿真的时候怎么选择工程使用的设备? hbchf 2009-9-17 12311 sofia 2010-1-6 09:23
ISE编译如下代码出现如下提示,请高手指点! hbchf 2010-1-5 26778 edwin 2010-1-5 22:46
FPGA做浮点运算和开方运算的方法(verilog) xml2028 2010-1-5 49001 yuhang 2010-1-5 21:12
菜鸟问题library work spidium 2009-12-10 21993 spidium 2010-1-5 19:21
想构想下毕业设计,大家给出出主意啊 zlq999 2009-11-25 102802 zlq999 2010-1-5 19:05
4*8数据选择器问题 mowenhui28 2010-1-4 22150 mowenhui28 2010-1-5 07:44
今天淘到一块Routing Module ,回来查了一下资料,发现对我是个鸡肋,上图 longquan 2009-11-8 53122 DanielDeng 2010-1-4 12:27
几乎所有的人都告诉你要多看别人的程序,但是。 yvhksovo 2009-10-26 153554 eduhf_123 2010-1-4 11:06
不能下载怀疑CPLD烧了,但原来的程序还正常跑! tom_2_2 2010-1-3 22338 mc56f8037 2010-1-3 23:59
求助,verilog编程数码管动态扫描。 wolfdong7 2010-1-3 12661 wolfdong7 2010-1-3 10:31
我是新手,请问把程序写入到EPM7064需要怎么设置啊,我怎么老是写不进去啊? cdh135 2008-2-21 43392 andywyt 2010-1-3 00:14
verilog 程序编译问题 mowenhui28 2009-12-27 293861 astudent 2010-1-2 18:53
推荐一个cpld/fpga的网站 CYHourdev 2007-9-13 194875 wodetianmyday 2009-12-31 09:38
lattice编程问题求助! suxiaoqin 2009-11-30 12303 edwin 2009-12-30 20:13
用VHDL编写乘法器,是采用移位运算实现快还是用乘法器IPcore来的快? FlashNuk 2009-12-28 42906 xinqiji 2009-12-30 12:20
自己学习FPGA的一点成果 zlq999 2009-10-19 133877 machaokuku 2009-12-29 20:05
用quartus设置ROM的问题 mowenhui28 2009-12-28 44298 mowenhui28 2009-12-29 10:24
FPGA入门系列实验教程——VHDL版本 zl0801 2009-9-16 134775 zhangzheyuanxp 2009-12-28 21:36
第一次用cpld~下面这段程序有什么问题?为什么灯不闪烁? soulmate 2007-12-12 355498 STM32_Study 2009-12-28 15:57
带两位小数的分频器,要求用CPLD或者FPGA做,有兴趣联系我...急切! yeweifu 2009-12-27 223185 NJ8888 2009-12-28 11:51
请问谁有SP306或308的光盘吗 cgha 2009-12-27 22092 cgha 2009-12-27 23:33
有块板上有块CPLD芯片,TCK和TDO用作IO口了还能对其编程吗 jsjjccc 2009-12-26 12264 minux 2009-12-26 20:35
求助 采集卡,多通道如何实现? ych888888 2009-12-25 32241 shixm.zh 2009-12-26 12:34
ZLG EasyFPGA030开发板---物尽其用,基于LCD1602的电子时钟 tiger1125 2009-12-15 44007 shanyan 2009-12-25 21:15
麻烦介绍一款性价比较高的FPGA开发板。 racemaker 2009-12-24 32673 lhdboy1988 2009-12-25 20:29
在使用Xilinx的时候,建立工程后,以下问题是不是问题?请高手帮忙! hbchf 2009-12-25 12032 minux 2009-12-25 16:14
有关epm240下载的两个问题 xiejing 2009-12-23 23685 xingkong911 2009-12-25 14:04
急求FIR滤波器设计源码(verilog) hanjianwune 2009-12-24 12453 FlashNuk 2009-12-24 22:35
verilog 闪灯程序 始终不出来,cpld高手们帮帮忙看一下 ju748 2009-12-24 93402 gliet_su 2009-12-24 22:13
郁闷!安装2次同学拷过来的QuartusII 6.0界面都是MAX+PLUS II的老板本界面,而其他人的 Sdicc 2009-3-9 133932 leafboy77 2009-12-24 17:14
FPGA学习_FPGA设计方向就业班 wangyan_go 2009-12-24 11958 volzhcan 2009-12-24 11:21
强力推荐:华为_大规模逻辑设计指导书 xu2006 2009-8-30 12588 wangyinq 2009-12-23 23:57
新人求助:ep2c8使用on_chipmemory时Verify failed caibo393308719 2009-12-23 32467 windowsce 2009-12-23 17:56
下一页 »

快速发帖

友情提示: 您当前发帖的板块是:FPGA。反政府言论将被立即封锁ID 。发错分论坛、标题不合格、重复发帖、大量使用大字体和彩色字,都是违规。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。点此查看更多发帖规则
还可输入 80 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-5-10 17:00

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

返回顶部 返回版块