搜索
收藏本版 (107) |订阅

FPGA 今日: 0|主题: 10362|排名: 34 

作者 回复/查看 最后发表
CPLD原理图(MAXII EPM240、EPM570)【恢复】 submars 2008-10-31 1614358 wanyi 2016-5-11 20:50
FPGA下载器烧坏了,丝印为C12、447的芯片型号是什么 attach_img cay 2016-5-10 0939 cay 2016-5-10 22:48
fpga的除法ip问题 junzimengyou 2016-5-3 81543 qinxg 2016-5-10 09:14
关于VERILOG减少触发器使用的求助 attach_img 粉丝别 2016-5-3 51193 Fourier00 2016-5-7 17:11
海外直购BeMicro Max 10 FPGA Evaluation Kit开箱 attach_img luweixuan 2015-11-14 394786 fenjinzhe 2016-5-7 11:50
请教关于FPGA输出25k方波信号“过冲”的问题 sxndwg 2011-11-9 2512588 linjpxt 2016-5-4 21:32
分享一些数字信号处理方面的书籍 新人帖 attach_img maxes 2014-12-18 142117 lxjmcuahz 2016-5-3 23:32
求个好用分Quartus II 13.1 (32-bit)软件,谢谢 vc9181 2016-4-27 51697 我是一个大白菜 2016-5-2 10:31
请教关于lattice的ice40系列的问题 attachment guzhen24 2016-3-20 32777 linjpxt 2016-4-30 00:21
关于FPGA时序约束一个问题。 attach_img 路人甲被注册了 2015-4-13 91496 jm2011 2016-4-29 16:42
simulink生成VHDL 123cff 2016-4-26 0985 123cff 2016-4-26 20:54
外包 FPGA DDR3 核心板设计 需求如下 pioneerlong 2014-9-4 346009 pioneerlong 2016-4-26 16:03
今天刚刚收到的Altera 的Bemicro Max10的开发板,上图分享 attach_img Mingrui 2016-1-22 193273 闲鱼翻身 2016-4-26 14:22
用debussy在window环境下快速查看fpga工程 wodish 2012-5-14 42102 wodish 2016-4-24 22:35
Synplify工具使用指南 zlz250663435 2011-7-22 42752 lyl520719 2016-4-24 05:24
求教:FPGA采集到的视频数据, 如何实时的传给PC? cpumaker 2016-4-22 61279 FPGA_WALKER 2016-4-22 20:50
altera和xilinx的soc,选择哪家的好? zxq6 2016-4-22 51631 zxq6 2016-4-22 16:59
FPGA与MT9M111图像传感器驱动问题 新人帖 raymon 2016-1-14 81549 raymon 2016-4-21 17:05
CPLD下载程序出现98%(failed)是什么原因,同时芯片发热 attach_img tigeroser 2016-4-14 211769 pulan 2016-4-21 13:28
初次接触fpga,导师直接给了个de1-soc,我该买其他开发板吗? 945595199 2016-4-11 584392 myhonour 2016-4-20 16:24
cyclone时钟输入的n和p是什么意思 guzhen24 2016-4-13 113496 pazulin 2016-4-20 11:15
SRAM数据重复的问题 JezzeGoo 2012-2-27 51659 霏常爱学习 2016-4-19 20:44
发一个对调试很有用的开源IP核,来自OPENCORE.ORG,名为UART2BUS mcupro 2011-9-27 166458 liucoldstarplus 2016-4-18 12:09
现在还有必要学cpld么? xl773 2013-8-14 193485 apolloty 2016-4-16 23:49
求助帖 )——> FPGA逻辑生成bit文件的如何压缩变小 attach_img 华丽的转身 2016-4-12 32016 FPGA_WALKER 2016-4-15 20:27
有关Nios II 自动升级请教 cicnx 2011-4-3 125815 aureole 2016-4-14 17:03
谁有黑金FPGA视频图像处理开发板资料么? attachment liyang53719 2016-3-9 152659 freefei 2016-4-14 12:15
verilog中逻辑非与按位非 的区别 wq_601840968 2016-4-13 21555 aammoo 2016-4-13 12:04
请教这个SD芯片封装画法 attachment sky_prince 2016-4-13 41112 sky_prince 2016-4-13 11:56
FPGA学习步骤,我的体会 heatlevel guozs1984 2014-2-10 314779 chaojikoushuige 2016-4-12 18:38
发一个我写的DDS芯片AD9833,请大家指正交流 mcsky 2011-1-19 144910 lyl520719 2016-4-12 07:05
小白请教关于FPGA历程中rst_n 需不需要存在? 阳光天蓝色 2016-1-16 91841 denike 2016-4-11 17:40
如何确定fpga中pll最高时钟可以做到多少? 新人帖 attach_img fuxinaries 2016-3-29 147109 denike 2016-4-11 16:50
谁有altera FPGA的以太网通信例程 分享下 新人帖 123cff 2016-4-4 172597 denike 2016-4-11 16:29
大家用 C++ C语言设计过FPGA吗? atom100 2015-9-8 194300 csq463276932 2016-4-11 13:57
Modelsim仿真常见问题解决方案 735953120@qq.co 2016-3-22 4975 735953120@qq.co 2016-4-9 23:24
CORDIC算法的VERILOG实现 attachment wuyuehang 2012-12-21 219140 hunningtu 2016-4-9 22:07
请问下,关于quartus11中,i/o standard的默认设置问题 lzl000 2016-1-10 31653 DepravedLucien 2016-4-8 17:12
请教如何将AD采集的数据放入Avalon总线?那种方案合适! mrlee866 2016-1-29 21086 DepravedLucien 2016-4-8 17:10
更改一下包含的VHDL文件怎么编译能不过了 attach_img tigeroser 2016-3-18 41032 tigeroser 2016-4-8 10:48
PCIE等外设如何使用? zxq6 2016-4-1 21183 DepravedLucien 2016-4-8 10:30
《自己动手写CPU》1-5章下载 attach_img heatlevel  ...2 leon1984 2014-8-20 17316133 hmsfeng 2016-4-4 23:32
最近看到些比较好的视频,个人觉得特别适合初学者,不..... heatlevel 735953120@qq.co 2016-3-22 432979 maxking 2016-4-1 10:59
求推荐一个FPGA开发板学习,主要学习视频处理方面 attach_img bias 2016-3-29 222192 735953120@qq.co 2016-3-30 20:38
请教: 输入信号为什么必须要时钟同步? attach_img xyzabc 2016-3-22 172885 xyzabc 2016-3-24 11:43
关于carry chain 的问题 xiaoyangshanren 2016-3-17 21509 xiaoyangshanren 2016-3-22 15:24
altera的AVALON说明书,power of 2 啥意思 ? attach_img atom100 2016-3-20 31328 atom100 2016-3-20 13:19
使用libero时候出现下述问题,请教如何解决啊 attach_img 一往无前 2016-3-19 31566 一往无前 2016-3-19 14:13
Quartus13.0 Crack 新人帖 attach_img heatlevel sczh0001 2013-5-12 7716212 liuchuanxhu 2016-3-18 00:07
选哪种CPLD? liuchg 2016-3-9 232589 596142041 2016-3-14 23:23
ispLEVER8.1软件下载  ...2 yiwei0397 2010-6-22 16840056 fangyjuny 2016-3-14 16:09
搞FPGA新手,求帮助解惑 一往无前 2016-3-10 201927 596142041 2016-3-12 22:31
Verilog 怎么能接收4字节的数据,下面程序能接收一个字节 心染红尘 2016-3-11 41265 runapp 2016-3-11 21:46
分享一些verilog视频 cyberspice 2015-12-3 61325 atg525 2016-3-9 22:01
Xilinx FPGA GTX的参考时钟电平选择问题 attach_img lpandadp 2016-3-9 22956 FPGA_WALKER 2016-3-9 21:54
Reveal Inserter功能试用 attach_img a9191389 2016-3-2 22027 a9191389 2016-3-7 22:58
配置芯片选择方法? guo407214944 2016-2-23 91760 gxh470873852 2016-3-6 12:08
初学FPGA,做了个视频教程“如何使用ISE开发FPGA项目”贡献给大家 youki1234 2010-8-21 184503 my二月兰 2016-3-3 13:38
清晰版《步步惊芯——软核处理器内部设计分析》前1-4章 attachment heatlevel leon1984 2013-11-3 276304 videofun 2016-3-2 22:24
ZYNQ7 上使用 I2S的IP logiI2S Audio I2S Transmitter/Receiver的license qzh 2016-3-1 02592 qzh 2016-3-1 21:27
计数器的Verilog代码怎么写才能满足高频计数? attachment prince2010 2016-2-27 255194 prince2010 2016-2-29 20:29
我也来分享个SDRAM的Verilog垃圾代码 wye11083 2012-7-4 163125 monkey.liu 2016-2-28 17:37
如果在FPGA的RAM里做一个表,字长最多可以达到多少? atom100 2016-2-16 51944 atom100 2016-2-28 12:35
xilinx原来老的开放环境ise竟然没有 相关的 好书 ? atom100 2016-2-21 41455 kongethan 2016-2-27 14:49
使用FPGA平台接收北斗信号的处理流程? 新人帖 312417200nwh 2016-2-23 142660 312417200nwh 2016-2-26 12:57
verilog中case语句执行不正常 longsky1985 2016-2-25 122725 honeybear 2016-2-25 23:53
问带工程经验的攻城狮,基于使用目的的FPGA学习之路选何? xianghaisha 2016-2-3 162238 vivi_cq1982 2016-2-24 16:50
AD7760 du520xi 2010-12-6 145047 苹果520 2016-2-23 13:20
想买这个FPGA板,总是付款不成功 sme 2016-2-18 81932 shouqiang_zhang 2016-2-18 23:10
求小米2 MIPI主控芯片 R63415B 的datasheet a9191389 2015-8-3 92725 a9191389 2016-2-18 15:40
Altera's OpenCL wwwfw 2011-11-27 72585 FPGA_WALKER 2016-2-7 09:25
xinlinx FPGA xc3s50an使用内部flash下载问题求救,附上原理图 attachment jssd 2014-9-9 55454 longsky1985 2016-2-3 16:40
EP4CE55F23I7N无法成功配置问题请教。 attach_img sys_suweixiao 2016-2-2 232619 sys_suweixiao 2016-2-3 14:15
FPGA同时驱动TFT LCD和VGA,LCD显示正常,VGA显示有问题求教。 attach_img goodthinger 2012-12-14 93574 suebillt 2016-2-1 17:37
出一块闲置的xilinx带DDR2板子siga-s16 新人帖 attach_img guizhong282 2015-11-29 31777 buck 2016-1-29 13:35
请问quartus哪个版本支持apex ep20ke系列? 新人帖 WalkingCat 2016-1-27 0935 WalkingCat 2016-1-27 22:50
打算设计一款ALTERA的离线加载器,需要客户提供POF文件 muok@sohu.com 2016-1-26 263228 muok@sohu.com 2016-1-27 09:57
ISE PhysDesignRules 模拟电路发烧友 2016-1-27 0990 模拟电路发烧友 2016-1-27 09:51
Xilinx仿真DDR3 模拟电路发烧友 2016-1-21 41968 模拟电路发烧友 2016-1-27 09:50
verilog 状态机实现SPI接口RAM不稳定,求助! attach_img willX 2016-1-26 32539 willX 2016-1-26 23:20
请教,verlog 怎么在always里面判断寄存器或者引脚电平变..... sohappyoh 2016-1-20 51251 回眸的兔子 2016-1-26 11:25
最近在搞Machxo3l a9191389 2016-1-19 41411 a9191389 2016-1-21 10:24
最近在搞FPGA驱动VGA,给大家推荐几个不错的资料网站,感觉讲的很不错,高手就不用看了哈 chenming1989 2011-7-29 143483 bj232 2016-1-20 12:46
求教 有没有比较便宜的异步FIFO 128字节以上? attach_img sohappyoh 2016-1-19 11127 NJ8888 2016-1-19 11:06
quartus II 14.1 破解器 attachment tjuspring001 2015-2-28 208609 wqsjob 2016-1-18 10:20
使用LPC2000的SPI端口PS方式配置FPGA程序(测试完成) win2kddk 2009-12-25 115624 WalkingCat 2016-1-17 17:30
小白请教FPGA 两个always传递标志问题? sohappyoh 2016-1-15 152521 skyxjh 2016-1-16 19:52
求助:modelsim问题Error: Failure to obtain a Verilog simulation license xlfarm 2011-6-14 919584 lu976046395 2016-1-11 20:12
FPGA/SOPC入门级实验指导书(DE2-70) v2.90;南京大学计算机系整理改编 yuphone 2010-9-28 4212151 jslx 2016-1-11 20:00
关于“研究生电赛一等奖作品-FM接收机”的一点困惑 attach_img heatlevel justin_n 2013-8-5 548197 小LV要加油 2016-1-11 18:04
CY7C68013 (标题不合格,封锁ID) attach_img 罗飞 2016-1-7 123244 raymon 2016-1-11 17:38
为什么modelsim仿真,有些变量读不出 attach_img xiaoxiaobaiyu52 2016-1-10 62118 zhangyidao 2016-1-11 00:08
Quartus9.1如何设置自动调用modelsim-altera6.5b进行RTL simulation仿真? attach_img hy2515131 2012-5-24 103013 lctaobaodzsj 2016-1-10 11:15
Quartus II 9.0 下载地址及license cjfwindy 2010-5-13 3516591 lctaobaodzsj 2016-1-10 09:02
视频;转特权(吴厚航)的;深入浅出玩转FPGA视频学习课程;三十五讲;3GB左右 heatlevel  ...234 tear086 2010-5-3 31153810 沙漠之鹰 2016-1-7 22:35
时序约束的认识,欢迎大家前来讨论!!! yuloong 2016-1-6 21205 gxh470873852 2016-1-7 16:08
A3P030竟然可以解密? sohappyoh 2016-1-6 143825 hl1200aa 2016-1-7 09:30
第一次画的FPGA核心板,请高手多虐 attachment suebillt 2015-4-11 385088 suebillt 2016-1-6 23:53
modelsim时序仿真时遇到的问题,请高手指教! attach_img yuloong 2016-1-5 52023 jm2011 2016-1-6 16:37
请问有没有试过使用STM32F101做主控,制作过USB Blaster仿真器? default 2015-10-12 132976 huangqi412 2016-1-6 12:29
下一页 »

快速发帖

友情提示: 您当前发帖的板块是:FPGA。反政府言论将被立即封锁ID 。发错分论坛、标题不合格、重复发帖、大量使用大字体和彩色字,都是违规。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。点此查看更多发帖规则
还可输入 80 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-4-28 19:12

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

返回顶部 返回版块