搜索
收藏本版 (107) |订阅

FPGA 今日: 0|主题: 10372|排名: 12 

作者 回复/查看 最后发表
fpga驱动LVDS接口LCD出现的问题 attach_img rowen800 2014-3-13 124705 sjx000000 2016-9-22 23:14
FPGA的JTAG下载不了程序求帮忙分析一下 attach_img opiviqo 2016-9-22 21470 opiviqo 2016-9-22 15:59
求推荐微处理器设计的书籍 阿胆开工了 2016-9-15 111991 CMika 2016-9-22 11:05
IRIG-B码的秒脉冲,应该在什么时候发出? attach_img Yaksa 2016-9-20 21205 Yaksa 2016-9-20 22:25
请教fpga内软核关于外部ram/rom的使用 新人帖 xycfwrj 2016-9-18 61815 xycfwrj 2016-9-19 09:27
求Xilinx的教程推荐一下! 549646150 2016-9-7 31385 freefei 2016-9-18 22:03
新年大礼学习FPGA仿真篇modelsim和综合Synplify 精华 digest  ...2 bynce 2008-2-7 15129269 liyang53719 2016-9-15 21:17
modelsim 10.2c破解后打开没反应? FPGA爱好者 2016-9-12 01615 FPGA爱好者 2016-9-12 10:14
zynq能不能扩展到1G的内存? zxq6 2016-9-1 33324 lantian0099 2016-9-11 22:56
if ... else if ... else if 的用法 ? atom100 2016-8-7 61925 jm2011 2016-9-11 13:32
I2C SDA无上拉阻可收到ACK,有上拉阻却收不到ACK attach_img Suna 2016-9-6 122963 幸福的鱼 2016-9-9 13:26
大西瓜FPGA视频音频+NIOS2开发套件发布与实战教程共享 attach_img WJRAN2993 2013-11-17 367659 guo407214944 2016-9-8 10:20
分享一下CYCLONE IV 的PCIE 板子。 attach_img ysdx 2012-12-25 387500 最笨的企鹅 2016-9-7 18:19
谁有《黑金 高速AD模块 DA模块》的资料,分享一份 attachment source.ant 2016-7-23 52526 aqjinhu 2016-9-7 12:22
使用jtag下载jic程序时,提示Flash Loader IP not loaded on device 1 attach_img zhdiamond 2014-9-29 124203 yfustbyf 2016-9-6 10:48
时序约束中的虚拟时钟的使用问题 ? atom100 2016-9-4 01655 atom100 2016-9-4 21:07
请教:输出电流1A左右,电压为1.2V的常用LDO有哪些? lqluocn 2016-8-31 134603 MikePandora 2016-9-3 22:01
求一套二手的FPGA开发板,要便宜资料多的。。 新人帖 C1060825815 2016-8-19 102333 C1060825815 2016-9-2 08:25
学FPGA视频处理方面,能不能跳过verilog直接用NIOS II ? bias 2016-8-24 91971 mcu5i51 2016-9-1 08:39
关于阻塞和非阻塞的问题? atom100 2016-7-18 112022 liugu 2016-8-31 14:52
vivado的debug,代码里不加mark debug,直接加ILA,能调试吗 atom100 2016-8-30 11980 yanghengxu 2016-8-30 09:59
Cyclone V Soc和Zynq7030处理能力比较 wlcsys 2016-7-29 2410571 moonstone 2016-8-30 09:27
通过vivado的ILA或VIO能否查看ddr信号 的波形? atom100 2016-8-29 01699 atom100 2016-8-29 21:51
XC3S50AN vs. EC2C5 哪个更好呢? htjgdw 2011-8-4 93359 gwnpeter 2016-8-28 17:28
千兆以太网轻松线速,支持arp,icmp,udp,能一起做点啥产品 heatlevel muok@sohu.com 2016-1-5 917598 zhangfeng0115 2016-8-26 19:13
FPGA有没有能解码JEPG格式的IP核 kang732816702 2016-8-24 102053 whxiaowang 2016-8-25 14:33
FPGA学习心得--------带有fifo的uart通信(使用线性序列机) attach_img 735953120@qq.co 2015-9-2 315481 bi大痣 2016-8-25 13:28
[已解决]下载流水灯切换AS到JTAG时Device不见了 attach_img bias 2016-8-23 31151 bias 2016-8-24 09:28
求助,FPGA的GTX不工作问题 attach_img lpandadp 2016-8-20 41580 lpandadp 2016-8-22 18:14
出售自己用的DE1-115 wangzh 2014-12-17 554836 bricklayer 2016-8-21 08:48
ep2c5t144c8芯片有没有假货 unnormal 2016-8-15 282430 18026965535 2016-8-18 00:44
还有人对 forth 语言喜爱的吗 attach_img hxl_led 2016-8-13 194965 atommann 2016-8-17 08:37
缩位算子^是从低bit位开始一个bit一个bit的Xor上去吗? attach_img bias 2016-8-12 31922 yuyu87 2016-8-15 14:05
XILINX的链接为什么不能够离线下载到百度云? GunGun 2016-8-13 41400 GunGun 2016-8-14 07:23
Xilinx FPGA配置器件选型咨询 StoneSun 2016-8-13 33366 FPGA_WALKER 2016-8-13 18:18
请问1366*768屏幕的VGA协议各项参数是多少? attachment 945595199 2016-8-10 21704 945595199 2016-8-11 22:22
asp下载成功,fpga不工作。成功解决记录 新人帖 attach_img wh474026049 2016-8-7 71768 596142041 2016-8-10 20:38
verilog的所以系统任务和系统函数都是用于调试吧? atom100 2016-8-7 21445 aammoo 2016-8-8 00:21
一段式状态机和二段式状态机的区别? atom100 2016-8-7 21904 atom100 2016-8-7 20:54
FPGA和单片机的对比的疑惑? weshare 2016-5-21 478157 vcgood 2016-8-4 18:48
VHDL实体名和结构体名是否可以不一致 ? atom100 2016-7-29 42090 qq302011 2016-8-3 22:06
送给初学verilog同学的电子版文档(语法应用大全) attachment lcrromi 2013-4-10 92947 幸福的鱼 2016-8-2 13:57
新手请教一个verilog代码的问题,就一个命令。 attach_img 945595199 2016-7-30 273737 sme 2016-8-2 08:29
CPLD能实现CD4051的功能吗? attach_img sender 2016-7-30 243569 sme 2016-8-1 09:12
fpga做多个摄像头的切换开关 applededipan 2016-7-27 142480 liyang53719 2016-8-1 00:28
咨询:用FPGA做DDS输出方波的精度与难度 DOER 2016-1-21 113265 zaldy30 2016-7-31 16:56
VHDL语言是否区分 阻塞赋值和非阻塞赋值 ? attach_img atom100 2016-7-29 104034 NJ8888 2016-7-29 16:36
ALTERA的文档有啥感觉?我感觉就是一团乱,还不少错 atom100 2016-7-6 91722 atom100 2016-7-29 11:40
FPGA 如何快速入门 曾家0762 2014-11-20 213291 circle_head 2016-7-29 10:25
输入频率 50/60hz 倍频达到 3M,有好方法吗? qqq_147258 2016-7-26 172355 gzhuli 2016-7-27 23:31
用FPGA,cpld实现ADC电压采样的试验(可以采集音频>8khz ),LVDS 应用 attach_img hxl_led 2014-10-22 224337 lyl520719 2016-7-27 16:05
求教!FPGA与DSP在通信领域的地位 549646150 2016-7-2 142554 wkman 2016-7-27 09:30
FPGA的IO经过16245芯片输出后脉冲波形有毛刺,求解答 Andyndy313 2016-7-26 11210 NJ8888 2016-7-26 21:52
Xilinx FPGA开发实用教程[田耘著][清华大学出版社][2008]625页 wydf0813 2016-6-2 142296 JohnsonTan 2016-7-25 21:56
QUARTUSII 15不支持Cyclone系列中的EP3CT驱动 hgh1013 2016-7-18 61694 bricklayer 2016-7-25 18:03
FPGAs for Dummies 中文版电子书 attachment fanciermips 2016-5-25 32786 一往无前 2016-7-25 17:21
请教XILINX的程序下载方式 longsky1986 2016-7-22 51717 longsky1986 2016-7-22 22:41
Modelsim-Altera 10.0c 破解教程 attachment fangyubiao 2012-11-1 77076 Gost 2016-7-20 22:30
请问大家flashpro5下载器在哪儿买比较好? wqm8181 2016-7-19 01042 wqm8181 2016-7-19 16:22
verilog中的疑惑 attach_img guo407214944 2016-5-26 122429 易尘 2016-7-19 09:33
大家做设计是否用过 system verilog? atom100 2015-9-8 31391 atom100 2016-7-19 00:27
这里有人用CPLD来做三相全控整流的吗? hisun 2009-9-28 143853 wiisir 2016-7-18 14:31
Verilog-2001新增特性 cxhy 2014-7-13 21574 jm2011 2016-7-18 14:23
网上买的USB Blaster,拆了拍裸照,欢迎围观 attach_img heatlevel xhudiao 2013-9-18 438576 lxk52000 2016-7-18 12:56
求助该波形如何实现! attach_img passage110 2016-7-18 51231 passage110 2016-7-18 10:50
基于FPGA的MP3播放器 attachment heatlevel tinanit 2014-9-13 747849 whatcanitbe 2016-7-14 17:28
看资料serdes是全双工,但pcie为何还用两对差分线? atom100 2016-7-7 133438 LearningASM 2016-7-13 14:07
求带串口通讯功能的最便宜的FPGA开发板的原理图和PCB图 Andyndy313 2016-5-24 61776 笨笨猫 2016-7-12 22:20
图中apply Quartus II constraint files,add the Synopsys timing 含义? attach_img atom100 2016-7-11 31268 jm2011 2016-7-12 09:26
altera提供的DDR2例程看过吗 ?能明白什么意思不? atom100 2016-7-11 01054 atom100 2016-7-11 11:04
一般 做FPGA设计,都不做做时序仿真吧? atom100 2016-6-21 122051 liuchuanxhu 2016-7-8 22:59
ADPCM 压缩解压 原理、c代码、verilog代码和quartus工程(有仿真波形)。已经过验证,内 精华 digest heatlevel jujiaqi 2010-9-22 6215213 robincui 2016-7-8 14:57
请教如何将输入的信号电压限制+5/-5V之间 attach_img source.ant 2016-7-3 92307 NJ8888 2016-7-4 22:38
简单整理了BeMicro Max10开发板的硬件资料 attach_img Mingrui 2016-1-23 174003 Mingrui 2016-7-3 09:21
请推荐网上靠谱的卖ALTERA FPGA的卖家 ece123 2016-6-8 173112 guzhen24 2016-7-2 16:49
寻找基于FPGA的mipi接口的驱动代码 liyang53719 2016-6-26 21803 liyang53719 2016-7-1 23:03
能否用usb芯片68013配置cyclone4并进行数据交换 WalkingCat 2016-6-30 21224 sme 2016-6-30 10:34
Spartan6综合要想避免温度等影响,需要留约15%以上的余量 wye11083 2016-6-28 31663 7802848 2016-6-29 08:41
synplify有很大用处吗? m1w1a1 2011-12-28 72602 7802848 2016-6-28 15:47
视频处理,单目测距 diyichang365 2011-9-29 73158 s1j2h3 2016-6-28 11:03
VGA是模拟输出口,我用FPGA做的话还要D/A转换? attach_img zcy0517 2014-3-10 202654 liyang53719 2016-6-26 09:58
做PCI-E板卡,用FPGA和PEX8311,请高手给点建议,比如布线 sky_prince 2013-4-23 183277 深海烟花 2016-6-25 21:21
代码差异统计软件 zxl570426546 2016-6-17 363162 little_Monkey 2016-6-22 17:11
有搞过 PCI总线的没有 ?PCI命令是啥作用 ? atom100 2016-6-21 51066 jm2011 2016-6-22 08:49
用什么工具调用altera的pcie核?megawizard还是Qsys? atom100 2016-6-8 51213 DWDM 2016-6-21 12:38
网络变压器中心抽头接电容到地有什么作用? attach_img wjfblack 2014-12-15 148932 zhugean 2016-6-16 15:51
AVR CPLD 扩展RS232口 attachment zhubamboo03 2016-6-7 102077 AWEN2000 2016-6-16 05:55
牛人辈出 看如何用FPGA早就x86cpu!!!!!!!!! liuxiuqi0119 2011-11-2 327032 k0059 2016-6-13 21:47
发一个网络上找不到的资源,spiflash控制器的verilog代码,前两天自己做的,板上验证通过 beichengjun 2011-3-1 295798 fdcnuaa 2016-6-12 16:42
求帮助 FPGA控制CF卡读写 实在是搞不懂 clogord 2012-11-15 112493 cjh5750 2016-6-12 10:23
Cyclone V soc高手请教 新人帖 wlcsys 2016-5-26 223339 YFM 2016-6-12 07:52
开源软核处理器OPENRISC的SOPC设计--淘宝上花了4块钱买的 attachment flyfox8 2012-8-4 83047 easier 2016-6-9 21:45
时序约束就是 改变 逻辑器件和连线的在FPGA上的位置? atom100 2016-6-4 61427 atom100 2016-6-9 00:30
单片机转FPGA怎么走? weshare 2016-6-1 213362 weshare 2016-6-8 11:26
大家看看这个语句对不对,为何parERROR不起作用? attachment boy1986 2016-4-27 61632 champion_yan 2016-6-6 11:40
一步一步学CPLD+SRAM驱动tft4.3寸液晶屏(连载。。。。) heatlevel  ...2 jobwork 2011-10-15 12128799 ccmj4708 2016-6-3 10:22
求助 FPGA的板子出现can't access JTAG chain错误 attach_img McDeggy 2010-4-8 6039711 dsp56789 2016-5-30 13:16
xilinx vivado 2016来了。 GunGun 2016-5-19 123078 moeyard 2016-5-30 12:28
在fpga里如何改变方波的占空比? attach_img McuY 2016-5-12 274654 McuY 2016-5-30 09:41
有没有FPGA视频处理方面的开发板介绍? weshare 2016-5-22 122177 yuntian 2016-5-24 13:16
下一页 »

快速发帖

友情提示: 您当前发帖的板块是:FPGA。反政府言论将被立即封锁ID 。发错分论坛、标题不合格、重复发帖、大量使用大字体和彩色字,都是违规。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。点此查看更多发帖规则
还可输入 80 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-6-7 18:58

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

返回顶部 返回版块