搜索
收藏本版 (107) |订阅

FPGA 今日: 0|主题: 10362|排名: 32 

作者 回复/查看 最后发表
请教VIVADO下DDS核的双通道,如何输入控制字 attach_img gongcsf 2018-10-22 01538 gongcsf 2018-10-22 12:40
CPLD移频键控(FSK),请教频率切换问题 attach_img liu672992381 2018-10-3 493788 liu672992381 2018-10-16 10:40
求助Nios II编译报错问题 attach_img 王晨 2018-10-10 31849 王晨 2018-10-11 11:22
关于CPLD应用问题 attach_img aglen_still 2018-10-8 143297 NJ8888 2018-10-9 19:28
求助Quartus和modelsim联合仿真报错问题 王晨 2018-10-8 21891 王晨 2018-10-9 13:41
altera fpga管脚电平的问题 xycfwrj 2018-10-8 82775 xycfwrj 2018-10-8 17:49
找不到ALTERA的ep4ce6e22c8n芯片的IO说明,管脚分布,封装信息 attachment zzage 2018-10-5 115275 梁皇山土匪 2018-10-8 09:39
有没有用过国产fpga的谈谈经验 xycfwrj 2018-5-30 3913282 90999 2018-10-6 10:13
烧程序时是否遇到过这样的错误Error: Flash Loader IP not loaded on device 1 bad_fpga 2011-11-3 911077 netawater 2018-9-27 16:46
CPLD新手请教几个问题,谢谢 aglen_still 2018-9-24 112283 zxq6 2018-9-27 10:05
单片机对EMP240连接的储存器读写错误太多,为什么? liudingding 2018-5-12 11755 htjgdw 2018-9-20 12:40
OV5640能否使用2.5V电平驱动 attach_img LiuCA 2018-9-19 11512 mtlsh 2018-9-19 20:18
Verilog写的一段简易CPU Sendzimir 2013-11-30 94856 huangqi412 2018-9-9 20:23
做了块Spartan-6,JTAG下载正常,烧写SPI Flash总失败 attach_img htjgdw 2018-8-23 145573 htjgdw 2018-8-28 16:06
求助 xilinx fpga能检测到却无法下载的问题 wuq_cody 2018-8-23 22264 wye11083 2018-8-23 09:54
多块独立FPGA单板上的JTAG如何组菊花链? cuiliang1984 2018-8-20 86190 dr2001 2018-8-21 09:32
请教xilinx spartan 6 DDR3硬核MCB 是否免费的。 advantech 2018-8-17 42312 advantech 2018-8-19 11:22
CPLD掩模用文件能反向成源程序文件吗? waferhit 2018-8-18 32052 not_at_all 2018-8-18 13:44
phy从88e1518换ar8035,代码需要修改不? attachment zxq6 2016-11-7 53370 muok@sohu.com 2018-8-18 12:13
EtherCAT IP核分享 attach_img liyang53719 2015-9-4 218456 93434387@qq.com 2018-8-11 23:42
求助:VHDL写的51总线代码,出现输出乱码错误,如何解决 attach_img jssd 2018-8-1 22371 NJ8888 2018-8-11 20:36
用CPLD实现的1x,2x,4x通用正交编码器解码模块。 yujie 2010-11-18 3610173 unnormal 2018-8-8 14:33
分享一些软件无线电(SDR)的基础资料(FPGA) attach_img heatlevel lansen0815 2014-9-22 7110197 picobox 2018-8-7 14:48
为何做ASIC的大多偏好Verilog/SystemVerilog? Sendzimir 2018-8-3 72746 xyz543 2018-8-4 19:15
怎麼從接地PIN的列表找到晶片型號? Jach 2018-7-31 92084 Jach 2018-8-4 11:56
编译通过了,仿真报错,谁有空能帮忙看看问题在何处。 attach_img 4317mjh 2018-8-1 11706 登云钓月 2018-8-2 09:05
终于点亮了我的LCD显示器,1024*768@60Hz,verilog语言,EP2C8,全部源码 精华 digest  ...2 yuangaoping 2010-1-26 17842622 csq463276932 2018-7-31 07:37
求推荐一个IO兼容5V的CPLD waferhit 2018-7-29 12117 gliet_su 2018-7-30 17:59
[zynq学习] 在 zybo 上用 FPGA 点灯 attachment linjpxt 2014-8-18 124477 愤怒的蜗牛 2018-7-29 22:11
初学FPGA 十进制计数器带进位清零复位功能 attachment desireyao 2014-3-27 11888 lyl520719 2018-7-28 17:31
请问EPM570量产时怎样烧录? jssd 2018-7-12 83174 weichao4808335 2018-7-28 11:59
Modelsim10.1C和谐文件和详细cr步骤 attachment kavinsun 2012-12-10 295202 惜今 2018-7-26 19:47
xc7k325 FFG900与 xc7k410FFG900 引脚是完全兼用吗? attach_img liwei_jlu 2018-7-25 32498 liwei_jlu 2018-7-26 11:44
寻找FPGA开发板资料 attach_img wuq_cody 2018-7-25 102839 wuq_cody 2018-7-26 10:52
Xilinx的FPGA按压才能下载,如何解决? attach_img wuq_cody 2018-7-18 112799 stdio 2018-7-21 11:38
分享:ZYBO开发板AMP例子(双核独立运行) attach_img nfchg 2015-4-5 84069 jameszxj 2018-7-17 09:37
摄像头+FPGA+USB传输+上位机处理(人脸识别) 加油! mayo20102012 2013-10-31 488554 rider.liu 2018-7-7 16:09
microblaze下,flash配置,为什么特别慢? justforfun 2018-6-19 52084 FPGA_WALKER 2018-6-20 15:57
分享一个简单易懂的 8051 IP 源码,Verilog版 attachment heatlevel xiaohe669 2014-11-23 237273 roc2 2018-6-19 09:28
CY7C68013A+FPGA打标卡,装错驱动变砖,有没有可能固件掉了? Garrys 2018-6-9 92917 Garrys 2018-6-16 14:27
无源晶振接CPLD IO产生CLK (原创)简略而不简单的思路 求酷 精华 attach_img digest heatlevel wangguanfu 2012-4-18 6317328 dukelec 2018-6-15 16:08
multijtager(基于FPGA的多功能JTAG调试/下载接口)开源项目倡议与讨论 精华 attach_img digest  ...23456..12 multijtager 2009-12-17 11661391205 Gohome_soon 2018-6-15 10:12
使用SDRAM作为缓存VGA输出有误的问题 attach_img wuq_cody 2018-6-10 22378 wuq_cody 2018-6-13 22:04
fpga工程师的前途 heatlevel  ...23 sadlife1000 2012-7-29 22948740 Himi 2018-6-4 14:22
zynq 7000为啥不带ADC zhoujun19860612 2018-5-18 123738 Allen.W 2018-5-31 22:52
CPLD+SRAM+CH341驱动VGA活动(刚出炉的图片) flyforyou85 2010-8-10 6217796 zya2008 2018-5-26 20:24
小弟写了个VGA驱动的文档,请大家笑纳 精华 digest heatlevel  ...234 aureole 2009-9-15 36767106 csq463276932 2018-5-14 20:54
悬赏30元话费解决单片机通过EPM240储存器读写错误多 liudingding 2018-5-12 72455 nanfang2000 2018-5-13 20:34
问一下,有没有FPGA时序约束方面书籍和教程? attachment yuloong 2016-1-6 196185 Monklong 2018-5-13 19:29
解封ID 发我珍藏fpga好书籍 精华 attachment digest heatlevel hxl_led 2012-3-31 519937 hejunhua 2018-5-13 08:29
FPGA内部BLOCK RAM 如何时序约束才能让CLK超过100M能正常工作 ackyee 2018-3-5 226620 qt11 2018-5-9 17:51
2011年电子设计竞赛xilinx FPGA培训 何宾老师 mogong 2011-7-31 469889 tubegong 2018-5-5 08:10
Altera + Actel 组合是为了安全吗? attach_img sohappyoh 2016-1-24 164146 futurekwong 2018-5-4 21:56
nrf24l01 丢包问题 attach_img matrx2010 2012-12-20 219882 tiger_wu 2018-4-28 17:53
一些libero学习资料 attachment dog52010000 2015-11-20 82524 true_elecom 2018-4-28 14:13
35岁入行FPGA晚吗? heatlevel wjg29542954 2015-10-26 8418999 liugu 2018-4-26 15:29
分享一个SPI发送代码,实测控制频综,效果很好 wdynh 2018-4-18 22163 xiaohe669 2018-4-25 09:20
quartus II 15.0在使用FFT ip核时编译总出错,提示time-limited file attach_img thy110 2018-2-28 125547 liugu 2018-4-24 21:27
有谁购买了ZLG的ACTEL FPGA开发板,能否共享其光盘?【恢复】 ldqmoon 2008-10-15 3410547 true_elecom 2018-4-22 13:44
ACTEL的FPGA谁在代理! kingreat 2015-9-19 163576 true_elecom 2018-4-22 13:42
verilog 一个always块可否对同一寄存器延迟赋值 裸奔的流浪者 2018-4-20 132579 裸奔的流浪者 2018-4-22 09:08
求ALINX406 (AX406)的光盘资料 sjx000000 2018-4-16 11881 裸奔的流浪者 2018-4-20 16:30
开发板资料寻找 attach_img wuq_cody 2018-4-11 11596 hunningtu 2018-4-19 08:31
0.1Hz~100M频率计波形整形电路?! heatlevel caijinshu 2011-11-13 5924978 落雪成白 2018-4-18 22:07
分享:用J-LINK把u-boot down到zybo开发板上 attachment nfchg 2015-4-5 224342 xd785 2018-4-17 08:12
求指教,STM32与FPGA使用SPI通信,跨时钟域问题如何解决呢 xzf962 2012-4-10 2912080 csq463276932 2018-4-14 12:32
spartan 3an系列能不能读取到固件? littlebadbay 2018-1-18 41730 littlebadbay 2018-4-12 16:01
SPARTAN_XC3S500E关于LVDS管脚约束的问题? super0peng 2018-4-11 11791 wye11083 2018-4-11 21:13
求助FPGA外接SERDES进行光通信 yayakimwu 2012-6-7 134110 ycoolwang 2018-4-9 21:04
quartus时序约束 amyxxf 2011-2-10 105738 LiuCA 2018-4-8 10:03
分享一个FPGA作为从机的历程 attach_img thy110 2017-11-10 113354 LiuCA 2018-4-8 09:53
FPGA IO输入约束疑惑 wangdanzhoudan 2018-3-2 92077 tangkuan660 2018-4-8 09:50
请教一个问题 EP1C6Q240C8N 能否直接替换为EP3C16Q240C8N fanciermips 2018-3-12 142453 skycomm 2018-4-3 13:49
求xilinx vivado can IP license attach_img xOpenLee 2018-3-4 57264 yf869778412 2018-3-30 10:29
请教CYCLONE IV的diffclk引脚能用作普通I/O吗? dream215 2018-3-29 22218 dream215 2018-3-29 14:50
请问nios ii/s 和/f版本生产的文件带有time_limited怎么解决? attach_img qq854149876 2018-3-10 21889 qt11 2018-3-27 20:43
FPGA+cy7c68013A数据采集 上位机问题 wyzjjyx 2012-4-22 46690 guo407214944 2018-3-22 16:01
问一下,QUARTUSII 里面自带的library里面的块都是什么意思? attach_img 313157950 2018-3-16 01596 313157950 2018-3-16 22:31
quartus分配管脚时,如何更改filter location中的内容 attach_img guo407214944 2018-3-13 26312 baoyin819 2018-3-16 20:42
always@下面begin... end 里面不一定是顺序执行吧 ? atom100 2018-1-22 1511972 yfzamnt 2018-3-8 19:23
求助:EPM240用作开关的最快速度?上升沿?下降沿? wdynh 2018-3-6 12183 dellric 2018-3-6 20:23
定义为rand变量,为何调用randomize就可以获得随机值? attach_img atom100 2018-3-6 01488 atom100 2018-3-6 17:35
systemverilog 函数中是否应该使用this指针 ? attach_img atom100 2018-3-5 01792 atom100 2018-3-5 11:06
求教FPGA xilinx ARTIX-7 用内部block ram 时钟可以达到多块? ackyee 2018-3-2 96416 ackyee 2018-3-2 19:40
有人批量使用了ALTERA的MAX10 CPLD吗? attach_img lusson 2018-2-7 416782 kickdown 2018-2-28 09:52
现将《NIOSII那些事儿》及FPGA黑金开发板的相关资料集中于此贴,有需要的请来此贴下载  ...23 avic 2010-9-24 20335755 zhenan421731 2018-2-24 09:24
systemverilog里可以直接用case,而没always ? attach_img atom100 2018-1-19 33029 YFM 2018-2-21 23:36
Kintex 7k325t大概价格多少 picobox 2018-1-29 214773 hxl_led 2018-2-11 19:18
个人感觉VHDL比verilog好用,你觉得呢 attachment heatlevel tigeroser 2016-3-17 8914653 star_tale 2018-2-10 14:35
systemverilog中的 $属于一个什么符号 ? atom100 2018-2-6 01692 atom100 2018-2-6 18:51
systemverilog里函数的返回值 ? attach_img atom100 2018-2-6 01739 atom100 2018-2-6 17:20
有用过AGM(遨格芯微)和ANLOGIC(安路科技)两家的FPGA的吗?据..... htjgdw 2018-1-30 138513 xwkm 2018-2-2 13:24
Quartus编译过的程序在Pro里竟然编译通不过? sme 2018-1-31 21949 sme 2018-1-31 13:09
求一份完整的ZYBO的原理图 sjx000000 2018-1-30 21644 dr2001 2018-1-31 09:52
求下载STM32_SPI_FPGA attachment xuysh 2018-1-19 22014 xuysh 2018-1-30 14:59
FPGA能不能实现这样的需求:一路脉冲输入,复制4路输出? whatcanitbe 2017-11-14 405654 RAMILE 2018-1-29 11:16
一个always内有两个case 。。。endcase ? atom100 2016-8-7 33216 jm2011 2018-1-22 17:33
请教XILINX 的中高端FPGA是如何排序的 Artix Kintex Spartan ... ackyee 2018-1-20 109916 dr2001 2018-1-21 20:04
FPGA读取OV7670 摄像头, 在VGA上显示异常 求教 attach_img ackyee 2016-7-14 22374 小学肄业 2018-1-20 20:49
[已解决]求DDR2时序约束解决办法 xivisi 2018-1-6 103589 563872381hai 2018-1-20 12:18
下一页 »

快速发帖

友情提示: 您当前发帖的板块是:FPGA。反政府言论将被立即封锁ID 。发错分论坛、标题不合格、重复发帖、大量使用大字体和彩色字,都是违规。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。点此查看更多发帖规则
还可输入 80 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-4-26 21:21

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

返回顶部 返回版块